Тенденции и перспективы развития EDA-индустрии по материалам новостей специального Internet-портала www.DACafe.com март - апрель 2003 Долинский М.С. Введение Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по апрель 2003 года выглядит следующим образом: + 1. Борьба за интероперабельность + 2. Требуются и начинают возникать средства отладки мультипроцессорных систем + 3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика. 4. Генераторы моделей процессоров + 5. От C++ к HDL и обратно 6. IP-компоненты процессоров + 6.1. ARM шагает по планете + 6.2. MIPS - с отставанием от ARM, но с опережением всех остальных + 6.3. И другие процессорные ядра + 6.4. Сетевые процессоры 7. В мире ПЛИС + 7.1. ПЛИС расширяют сферу применения + 7.2. Развитие средств проектирования ПЛИС 7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.1. Цифровая обработка сигналов + 7.3.2. Телекоммуникации 7.3.3. Средства управления движением 7.3.4. Реконфигурация "на-лету" н! 7.3.5. Память с шифрованием данных н! 7.4. ASIC конвергируют к ПЛИС 8. IP-компоненты для ПЛИС и ASIC + 8.1. DSP-обработка + 8.2. Телекоммуникации + 8.3. Шифрование + 8.4. Как распространяются IP-компоненты 9. Верификационные IP-компоненты 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC. + 9.2. Другие языки и средства верификации симуляцией + 9.3. Средства формальной верификации 10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.1. Отладка проектов для ПЛИС 10.2. On-line - прототипирование ASIC + 10.3. Персональные средства для прототипирования ASIC 11. Отладка программного обеспечения для микроконтроллеров 11.1. Разработки Applied Microsystems + 11.2. И все остальные + 11.3. Сетевые микроконтроллеры + 11.4. Мультимедиа-микроконтроллеры + 11.5. Другие новости мира микроконтроллеров 12. Обучение - ключ к продаже + 12.1. Очные семинары + 12.2. On-line - обучение + 12.3. Университетские программы 12.4. Обучение через партнерские программы с центрами проектирования + 12.5. Документированные проекты 12.6. Комплексная (многовидовая) служба поддержки 13. Другие ключи к продаже 13.1. Передача маркетинга на сторону + 13.2. Расширение географии н! 13.3. On-line - выставки н! 13.4. On-line - порталы 14. Интернет-технологии на службе EDA-индустрии 15. Специализированные СБИС н! 15.1. Беспроводная передача данных н! 15.2. Сетевая обработка н! 15.3. Цифровое телевидение Символами "н!" отмечены новые (по сравнению с ранее приведенной классификацией) тенденции, проявившиеся в обозреваемом периоде (март-апрель 2003 года). Символами "+" отмечены тенденции, которые получили подтверждение и развитие в новостях обозреваемого периода. Рамки статьи вынуждают существенно сократить имеющийся фактический материал (полная версия материала доступна по адресу http://NewIT.gsu.unibel.by/resources/articles/ dolinsky/Russian/Embedded Systems/dv0304.txt). Вначале рассмотрим динамику развития ранее подмеченных тенденций. 1. Новости в рамках классификации приведенной на февраль 2003 года 1.1."1. Борьба за интероперабельность" 1.1.1. Только факты 3 марта Cadence присоединилась к консорциуму FlexRay FlexRay Consortium создан в сентябре 2000 года. Его цель - направлять создание открытых стандартов высокосокоростных шин для распределенных систем управления в автомобилях, таких как x-by-wire. Цель Cadence - обеспечить симуляцию таких систем. www.cadence.com 11 марта InnoLogic Systems присоединилась к Novas Harmony Program ESP-CV фирмы InnoLogic Systems совместно с Debussy фирмы Novas обеспечивает полное покрытие функциональной верификацией сложных SoC проектов. ESP-CV верифицирует функциональную эквивалентность проектов, представленных на различных уровнях абстракции (поведенческий Verilog, RTL Verilog, вентильные нет-листы, транзисторные/SPICE-нет-листы). Если обнаруживаются различия, то ESP-CV генерирует минимальное множество тестов, обнаруживающих эти различия. Эти тесты могут использоваться во время симуляции для исследования причин возникновения различий. www.novas.com www.innologic-systems.com 17 марта Michael Kaskowitz из Mentor Graphics выбран новым президентом VSIA Virtual Socket Interface Alliance (VSIA) - открытая международная организация, включающая представителей от всех сегментов SoC-индустрии: проектных служб, производителей чипов, EDA-компаний, IP-провайдеров. Цель VSIA - открытые станадарты для обеспечения эффективной интеграции программных и аппаратных IP-компонент от разных поставщиков. www.vsi.org www.mentor.com 24 марта Texas Instruments сформировала Connectivity Developer Network CDN состоит из независимых компаний, которые поддерживают разработки TI 1394a/1394b (FireWire, USB, PCI CardBus, PCI Bridges, DVI, UART) для высокоскоростных соединений. В CDN в настоящий момент вошли: -- 2d3D Incorporated -- Fraunhofer-IMS -- Intelligraphics -- Island Digital Media Group -- Jungo -- Mindready Solutions -- NitAl -- ORSYS -- Saguaro Systems -- Unibrain -- Yokogawa Electric Corporation Jungo Software Technologies предлагает пакет средств для разработки драйверов для USB устройств. Mindready Solutions обеспечивает программную и аппаратную поддержку FireWire. Intelligraphics поставляет средсва разработки драйверов и средства автоматизации разработки на системном уровне. Члены CDN получают доступ к стратегической информации от TI, новым образцам, средствам разработки и документации. Членство в CDN бесплатно, приглашаются все желающие. www.ti.com/devnet www.mindready.com 7 апреля Интероперабельность и верификация - главные темы форумов Synopsys EDA Developers 10 апреля - EDA Interoperability Developers' Forum. 11 апреля - Milkyway Developers' Forum. Темы EDA Interoperability Developers' Forum: - обновления Accellera и SystemVerilog - развитие OpenVera Assertions Language Reference Manual - SDC 1.4 - новый парсер ограничений проекта - перспективы пользователей от парсеров с открытыми исходниками - обновление Liberty - открытой библиотеки стандартов - дискуссия о Milkyway Темы Milkyway Developers' Forum - опыт использования базы данных Milkyway в LSI Logic - обзор API-интерфейсов, базирующихся на С - Тьюториал Milkyway - проводят инженеры из Synopsys - Сессия Milkyway R&D www.synopsys.com/partners/tapin/forum_info.html www.synopsys.com/milkywayforum 7 апреля Teseda присоединилась к Synopsys Milkyway Access Program Teseda - поставщик средств валидации систем на соответствие требованиям DFT (Design-For-Test). Средства разработки от Teseda будут использовать открытый API к базе данных Milkyway. Уже более 25 EDA компаний присоединились к программе Synopsis MAP-in. www.teseda.com 17 апреля Agere Systems и Cadence Design Systems передают ChartReuse-II VSI Alliance ChartReuse-II - средства измерения качества IP-компонент. www.agere.com www.cadence.com www.vsi.org 23 апреля Accelerated Technology назначена официальным представителем ассоциации TRON в Северной Америке Проект TRON (посвященный разработке ядра RTOS) - начался в 1984 году, когда Dr. Ken Sakamura из университета Токио выдвинул концепции, которые известны в настоящее время как "ubiquitous computing" и "pervasive computing". Одним из многих результатов этого проекта является ITRON - который стал де-факто стандартом на рынке встроенных систем, особенно в Японии, где он активно используется в сотовых телефонах и других потребительских устройствах. В январе 2000 года Accelerated Technology вышла на рынок ITRON, портировав свое ядро Nucleus RTOS в стандарт интерфейса micro-ITRON, в результате чего получился Nucleus micro-iPLUS. Dr. Takao Nakano, исполнительный директор Ассоциации TRON заметил: "За 19 лет существования TRON были выдвинуты такие стандарты как ITRON, BTRON, CTRON и JTRON. Но именно ITRON де-факто стандартом для встроенных устройств в Японии" С 2001 года в рамках ассоциации TRON разрабатывается проект T-Engine. Цель которого - разработка программной и аппаратной платформы 'real-time' систем нового поколения, которая стала бы расширением ITRON. T-Engine обеспечивает высокоэффективную интегрированную среду разработки встроенных систем широким распространением 'middleware' и прикладного ПО как стандартных пакетов, обеспечивающих их повторное использование. Проект T-Engine начался в Японии, но сейчас к нему проявляют большой интерес компании в Европе, Корее и США. www.na.assoc.tron.org (e-mail: na_info@assoc.tron.org) www.acceleratedtechnology.com www.mentor.com 24 апреля Accelerated Technology анонсировала "Nucleus NET for ITRON" - сетевое ПО для разработчиков на базе micro-ITRON Специфиация RTOS "micro-ITRON" разработана на основе стандарта на интерфейс ITRON. Этот стандарт принят более 50% разработчиков в Японии. Как результат - имеется огромное количество наработок, соответствующих стандарту micro-ITRON, программного обеспечения для потребительской электроники, автоматизации продажи в розницу и телекоммуникации. Nucleus NET - масштабируемая, высокопроизводительная реализация стека протоколов TCP/IP, TCP, UDP, IP, BOOTP Client, IGMP, ICMP, ARP, RARP, DNS Resolver, DHCP Client, RIP version I/II, TFTP Client. Nucleus NET продается вместе с исходными текстами, без оплаты типа "royalty". Цена лицензии на Nucleus NET - от $14,495. www.acceleratedtechnology.com www.mentor.com 24 апреля Accelerated Technology анонсирует Nucleus COM 3.0 для разработчиков на базе стандарта OSEK Используя Nucleus COM разработчики упрощают внутрипроцессорную и межпроцессорные коммуникации в приложениях автомобильной электроники. Nucleus COM - это реализация последнего COM-стандарта от комитета OSEK, в то же время Nucleus COM - это часть RTOS Nucleus, также соответствующей стандарту OSEK, включающей и другие компоненты, соответствующие стандарту OSEK: Nucleus NM - ПО сетевого управления Nucleus CAN - ПО для последовательной передачи информации. Цена на Nucleus COM 3.0 - от $21,495 за лицензию и исходный код. www.acceleratedtechnology.com www.mentor.com 1.1.2. Обобщения и выводы Потребность в универсализации и стандартизации была осознана специалистами достаточно давно, об этом, в частности, свидетельствует и большое количество упомянутых в "только фактах" организаций, работающих именно в этих направлениях, в частности: - Virtual Socket Interface Alliance (VSIA), созданный с целью разработки открытых станадартов для обеспечения эффективной интеграции программных и аппаратных IP-компонент от разных поставщиков. - серия проектов TRON (ITRON, BTRON, CTRON, JTRON, T-Engine), стратегической целью которых является разработка программной и аппаратной платформы встроенных 'real-time' систем нового поколения. - стандарт OSEK, определенный группой главных Европейских производителей автомобилей и их компонент, чтобы обеспечить единую платформу встроенных систем для автомобильной промышленности. - FlexRay Consortium с целью направлять создание открытых стандартов высокосокоростных шин для распределенных систем управления в автомобилях, таких как x-by-wire. Во многих случаях отдельные фирмы пытаются создать консорциумы на базе своих передовых разработок. Например, упомянутые Synopsis (с базой данных создания проекта Milkyway и консорциумом Milkyway Access Program) и Novas (с базой данных KBDS (Knowledge-Based Debug System) для симуляции/верификации цифровых проектов и консорциумом Novas Harmony Program). Очередной такой "фирменный" консорциум - Connectivity Developer Network - начала формировать Texas Instruments. Заявленная стратегическая цель - разработка высокоскоростных соединений на стандартах 1394a/1394b (FireWire, USB, PCI CardBus, PCI Bridges, DVI, UART) и новых средствах от TI. 1.2. "2. Требуются и начинают возникать средства отладки мультипроцессорных систем" 1.2.1. Только факты 4 марта CoWare расширяет семейство продуктов LISATek Выпущены новые версии LISATek EDGE Processor Designer, RIM Software Designer и HUB System Integrator. Добавились - поддержка от CoWare N2C, исследование памяти и возможности макроассемблера. API от LISATek и CoWare были оптимизированы в целях существенного повышения производительности симуляции. Как известно, CoWare (поставщик средств EDA системного уровня) недавно приобрела LISATek - разработчика средств создания и моделирования встроенных процессоров для SoC. Как результат объединения усилий - обеспечение возможности симуляции и отладки мультипроцессорных систем. Memory Explorer позволяет исследовать, анализировать и изменять конфигурацию кеша, шин и памяти. Скомпилированная модель процессора позволяет оптимизацию подсистем памяти - критической компоненты производительности любой встроенной системы. LISATek Macro Assembler повышает эффективность программирования. Технология CoWare LISATek базируется на Language for Instruction Set Architecture (LISA) - едином языке описания, который является расширением C/C++. EDGE Processor Designer упрощает проектирование и моделирование встроенных процессоров, включая микроконтроллеры, процессоры цифровой обработки сигналов, сетевые процессоры и специальные процессоры. RIM Software Designer - это множество программных средств разработки, генерируемых EDGE, включающее симулятор, макро-ассемблер, линкер, архиватор, дизассемблер и отладчик. HUB System Integrator позволяет разработчикам аппаратного обеспечения интегрировать встроенные процессоры, сгенерированные EDGE, в SoC и проводить верификацию. Поддерживается отладка мультипроцессорных систем. Среда разработки CoWare поддерживает C и SystemC а также имеет интерфейсы к симуляторам от Cadence, Synopsys, Mentor. Продукты CoWare LISATek работают под ОС Sun Solaris, Linux и Windows NT/2000. Цена - от $50,000. www.CoWare.com 4 марта LSI Logic выпускает платформу RapidChip Один блок (slice) платформы RapidChip имеет встроенный процессор 200MHz ARM926EJ-S (или ARM7TDMI-S, или ARM966E-S) до 2.5 миллионов вентилей, 2 мегабита конфигурируемой RAM, SerDes для высокоскоростного ввода-вывода - до 3.1875Gbps, количество контактов ввода-вывода от 304 до 638. Платформа RapidChip может включать несколько таких блоков. rapidchip.lsilogic.com www.lsilogic.com/products/coreware/index.html www.lsilogic.com 4 марта Texas Insruments выпустила однокристальный цифровой медиапроцессор TMS320DM270 для переносных электронных устройств DM270 предназначен для цифровых камер в дипазоне 3-5 мегапикселов, обеспечивается MPEG-4 кодирование для VGA разрешений со скоростью 30 фреймов в секунду. 20DM270 интегрирует TMS320C54x DSP и ARM7TDMI RISC, а также сопроцессоры видео и графики. Обеспечиваются программные средства разработки для всех главных приложений включая JPEG, motion-JPEG, MPEG-1, MPEG-2, MPEG-4, H.263, H.264 DivX, Windows Media Video (WMV), MP3, Advanced Audio Coding (AAC) Windows Media Audio (WMA), G.711, G.723.1, G.726. DM270 может исполнять различные ОС, включая Nucleus, Linux, ulTRON, VxWorks. Дополнительная поддержка для DM270 включает оценочные образцы, программые библиотеки, полную документацию, техническое обучение. Срдества разработк - IDE Code Composer Studio и RTOS DSP/BIOS. . Цена - менее $15 в партиях по 25,000. Цена на средства разработки - от $1,000 CCD imager board до $4500 за OMAP Code Composer Studio. www.ti.com/dm_270 www.ti.com 24 марта Motorola демонстрирует эффективную по стоимости программируемую альтернативу для беспроводной инфраструктуры передачи сигналов Reconfigurable Compute Fabric (RCF) обеспечивает производительную на уровне DSP обработку, выигрывая по стоимости, и потреблению энергии. MSC810x StarCore базируется на массиве оптимизированных процессорных элементов, представляющих эффективные решения для задач, требующих интенсивных вычислений. Продвинутая архитектура и наличие средств разработки делают такой (RCF) подход конкурентно-способным. В отличие от FPGAs и ASICs, RCF не требует HDL-кодирования, а полностью программируется на C и ассемблере. Ожидается выпуск фирмой MetroWerk IDE CodeWarrior для RCF. А Motorola обеспечит наличие прикладного ПО для модулей коммуникации и обработки. Ключевые достоинства RCF: - программируемость и гибкость, обеспечивающие адаптируемость к новым стандартам и новым рынкам - сокращенное время выхода на рынок (не нужно проектировать аппаратное обеспечение) - возможность модифицировать оборудование в процессе эксплуатации - оптимизированное использование ресурсов с возможностью учитывать трафик - приемлемая цена www.motorola.com/smartnetworks www.motorola.com/semiconductors www.metrowerks.com 22 апреля Mentor Graphics анонсирует iSOLVE IP-Xpress - новую целевую платформу для высокопроизводительной верификации iSOLVE IP-Xpress обеспечивает верификацию систем, включающих в себя RISC CPU и DSP, с помощью эмуляции. iSOLVE IP-Xpress интегрируется с VStation или Celaro/CelaroPRO, обеспечивается отладка мульти-процессорных систем. Поддерживаются процессоры от ARM (ARM926EJ-S, ARM946E-S, ARM966E-S, ARM7DTMI, ARM720T, ARM920T, ARM940T), IBM Microelectronics(PowerPC 405 GP) и Texas Instruments (TI DSP C62x, TI DSP C64x). Непосредственное подключение процессоров обеспечивает исполнение реального прикладного ПО с использованием стандартых средств отладки, а также полную видимость ASIC или SoC посредством эмулятора. www.mentor.com 28 апреля Представители Agilent, Cadence, IBM, Intel, Mentor, TI & Xilinx продискутировали на Programmable World 2003 средства высокоскоростной последовательной передачи данных Основные темы дискуссий: - использование процессоров для оптимизации цены и производительности - методы проектирования, интегрирующие новые процессорные архитектуры в существующие системы - цифровая обработка сигналов следующего поколения - растущие проблемы верификации сильноинтегрированных систем www.xilinx.com/pw2003 28 апреля Altium анонсирует технологию "Board-on-Chip" Altium заявила, что теперь можно одновременно разрабатывать программное и аппаратное обеспечение для устройств на базе FPGA. Текущие средства EDA ориентированы на HDL и не интегрированы со средствами разработки программного обеспечения. Кроме того, IP-компоненты дорогие и имеют сложные схемы лицензирования. И это в значительной мере препятствует распространению FPGA. В поддержку технологии BoC Altium интегрировала средства разработки аппаратного обеспечения nVisage и технологии разработки встроенного программного обеспечения от TASKING (включая технологию Viper для технологий компиляции и отладки для мультипроцессорных систем) в единую среду для разработки программного и аппаратного обеспечения Design Explorer Platform (DXP) DXP включает: - схемные и языковые средства описания проекта - интегрированная среда разработки программного обеспечения - пакет процессорного ядра, включающий пре-синтезированные процессорные ядра, с соответствующим компилятором, симулятором и отладчиком - библиотеку схемных компонент, включающих множество пресинтезированных компонент, таких как периферийные устройства, логика серии 74xxx, коммуникационных и интерфейсных компонент - примитивы и макробиблиотеки для всех Xilinx и Altera устройств - 'виртуальные' инструменты, такие как логические анализаторы и счетчики частоты, которые могут быть встроены в проект в тестовых целях - разработанная схема загружается в FPGA и позволяет разработку FPGA, используя только PC. Основное достоинство этой разработки, что она является самодостаточной для создания проектов на FPGA, полностью интегрированной, и может быть доступна широкому множеству инженеров - владельцев ПК. Технология 'BoC' является новой парадигмой EDA и имеет потенциал значительно уменьшить стоимость разработки, сократить сроки ее выхода на рынок и гибкость проектирования. Важным достоинством разработанной Altium технологии BoC является гибкий подход к разделению функций между аппаратным и программным обеспечением, которое можно выполнить на любой стадии процесса проектирования. Одновременная симуляция программного и аппаратного обеспечения позволяет находить и исправлять ошибки в ПО, связанные с особенностями аппаратного обеспечения, до окончательной реализации последнего. В обычной практике стараются зафиксировать аппаратное обеспечение как можно раньше, а затем разрабатывать программное обеспечение. Поскольку переизготовление аппаратного обеспечения дорого и долго. Однако использование технологии BoC и возможность реконфигурирования FPGA позволяет более гибко подходить к разработке аппаратного обеспечения. При технологии BoC новый прототип эффективно генерируется каждый раз, когда загружается в FPGA. Это означает, что система будет более полно разработана и оттестирована прежде чем будет изготовлен ее первый PCB прототип. Кроме того, поскольку большая часть проекта окажется в FPGA, сам окончательный PCB-проект сильно упрощается. Altium до 6 августа 2001 года носила название Protel International, была основана в 1985 году, в 1991 году выпустила свой первый EDA-продукт для Windows. Штаб-квартира Altium расположена сейчас в Сиднее(Австралия), также имеются офисы в США, Японии и Европе. Сейчас Altium владеет продуктами таких брендов как Protel, nVisage, P-CAD, TASKING, Accolade, CircuitMaker и CAMtastic. www.xilinx.com/events/pw2003/index.htm www.altium.com Altium USA Media Contact Becky Aoanan Edelman 800 West El Camino Real Mountain View, CA 94040 USA www.edelman.com Telephone: +1 650 968 4033 Fax: +1 650 968 2201 Email: becky.aoanan@edelman.com Corporate Media Contact Jessica Maxwell Altium Limited Level 3, 12a Rodborough Road Frenchs Forest, NSW 2086 Australia www.altium.com Telephone: +61 2 9975 7710 Fax: +61 2 9975 7720 Email: jessica.maxwell@altium.com.au 1.2.2. Обобщения и выводы Прежде всего хочется отметить реальные мультипроцессорные чипы, разработка программного обеспечения для которых и требует наличия средств симуляции и отладки мультипроцессорных систем: - платформа RapidChip от LSI Logic, которая может включать несколько блоков (slices), каждый из которых имеет встроенный процессор 200MHz ARM926EJ-S (или ARM7TDMI-S, или ARM966E-S), до 2.5 миллионов вентилей программируемой логики, 2 мегабита конфигурируемой RAM - однокристальный цифровой медиапроцессор TMS320DM270 от Texas Insruments для переносных электронных устройств, который интегрирует TMS320C54x DSP и ARM7TDMI RISC, а также сопроцессоры видео и графики. - MSC810x StarCore от Motorola, который базируется на массиве оптимизированных процессорных элементов (Reconfigurable Compute Fabric) Далее интересно отметить тот факт, что на Programmable World 2003 представители Agilent, Cadence, IBM, Intel, Mentor, TI и Xilinx, обсуждая средства высокоскоростной последовательной передачи данных, выделили мультипроцессорные системы как фундамент перспективы таких разработок. Ответом на запросы производителей чипов в текущем периоде стали: - технология "Board-on-Chip" от фирмы Altium - целевая платфрма iSOLVE IP-Xpress для верификации фирмы Mentor Graphics - развитие технологий LISATek фирмой CoWare (недавно купившей фирму LISATek). Отметим, что Altium и Mentor обеспечивают эмуляцию, а CoWare - симуляцию, отлаживаемых систем. 1.3. "3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика." 1.3.1. Только факты 14 апреля Mentor Graphics выпустила Seamless версии 5 Теперь Seamless может использоваться не только для совместной отладки программного и аппаратного обеспечения, но и для оценки производительности системы. Seamless является де-факто стандартом для совместной верификации программного и аппаратного обеспечения. По мнению Serg Lee (Mentor) c 1996 года - момента выпуска - Seamless отвечает на вопрос "Работает ли моя система?". С выпуском Seamless 5 она может отвечать также на вопросы "Насколько хорошо работает моя система?" и "Как я могу улучшить производительность моей системы?" Seamless 5 включает 4 окна для характеристики производительности системы и отображения различных ее аспектов. Окно профилирования кода показывает какие подпрограммы использовались дольше всего. Окно загрузки шины показывает как сильно использовала конкретная подпрограмма шину. Окно арбитража шины показывает как долго приходилось ждать мастеру шины. Окно графа отображения транзакций памяти показывает кеш-попадания и кеш-промахи. Используя эту информацию разработчики могут повысить производительность системы. Seamless 5 поддерживает теперь симуляцию SystemC. Разработчики могут быстро инсталлировать Seamless Processor Support Packages в проект на SystemC и использовать все достоинства Seamless, не переходя к HDL-проектированию. Затем можно заменять отдельные компоненты на HDL-модели. Цена на Seamless - от $40,000. Seamless работает на ОС Solaris, HP/UX и RedHat Linux. www.mentor.com 21 апреля Toshiba анонсирует T6TC1XB-0001 - SoCMosaic Custom Chip - контроллер на базе ARM926EJ-S Технология SocMosaic сокращает время изготовления ASIC до 4 месяцев, а время выхода на рынок с момента начала проекта - до 6 месяцев. T6TC1XB-0001 имеет два порта Ethernet MAC и PCI контроллер, распределенную DMA(Direct Memory Access)-архитектуру, контроллер SDRAM. Toshiba позиционирует свой чип для производства пользовательских проектов с потребностью от 10,000 до 200,000 штук. Гарантируется кастомизация чипа в сроки до 6 месяцев. Процессор ARM926EJ-S работает на частоте 150 Мгц, имеет 16 К-байт кеши инструкций и 16К-байт кеш данных. Цена T6TC1XB-0001 - $14.25 в партиях по 1,000 штук. Для чипов платформы SoCMosaic обеспечивается потактовая С-симуляция и RTL-тестбенчи. www.chips.toshiba.com 29 апреля Faraday выбрала Seamless от Mentor Graphics для совместной верификации программного и аппаратного обеспечения Параллельно Faraday приобрела PSP (Processor Support Package) для своего DSP-ядра FD216, на базе которого планируется строить MP3 плейеры, декодеры для фотопечати и JPEG. PSP для FD216 использует собственные средства компиляции и отладки программного обеспечения от Faraday Technology. Seamless выпущена в 1996 году, сейчас поддерживает более 120 микроконтроллеров и DSP. Faraday Technology лицензировала Seamless в 2001 году. Seamless доступна для платформ Solaris, HP/UX, Red Hat Linux. Цена Seamless - от $40,000. www.faraday.com.tw www.mentor.com/seamless 1.3.2. Обобщения и выводы Итак, среди новых SoC-платформ, анонсированных в текущем периоде выделяются: - T6TC1XB-0001 от Toshiba - ASIC-контроллер на базе процессора ARM926EJ-S, изготавливаемый Toshiba по технологии SoCMosaic по заказу пользователя в сроки до 4 месяцев - DSP-ядро FD216 фирмы Faraday Technology, на базе которого она намерена проектировать устройства для потребительской электроники. В качестве средств разработки таких систем в очередной раз упомянута Seamless фирмы Mentor Graphics. Более того, вышла новая версия - Seamless 5, в которой более развиты средства оценки производительсности подсистем памяти. 1.4. "5. От C++ к HDL и обратно" 1.4.1. Только факты 17 марта Xilinx уменьшает время симуляции от месяцев до минут для DSP проектов новых поколений System Generator for DSP v3.1 -- резко сокращает время симуляции проектов за счет hardware-in-the-loop и HDL co-simulation. SGD автоматически транслирует DSP-системы из MATHLAB и Simulink описаний в высокооптимизированные VHDL-описания и IP-компоненты для Xilinx FPGA. "Hardware-in-the-loop" существенно ускоряет цикл проектирования, поскольку позволяет пользователям верифицировать проекты в FPGA нпосредственно из среды Simulink. В других методологиях DSP-инженеры должны верифицировать проекты в различных средах - что усложняет и замедляет процесс. "Hardware-in-the-loop" уже поддерживается такими разработчиками как AlphaData, Annapolis, Lyr, Nallatech. "HDL co-simulation" позволяет пользователям импортировать HDL-код и симулировать всю систему в целом. Mentor Graphics ModelSim автоматически вызывается из Simulink и производится совместная симуляция Simulink- и HDL-моделей. Кроме того, поддерживается одновременная симуляция MATLAB M-Code булевых выражений и процессора Xilinx PicoBlaze. Xilinx Virtex-II и Virtex-II Pro FPGA обеспечивают до 556 встроенных 18*18 умножителей и до 10 мегабит блочной и распределеной памяти. System Generator for DSP v3.1 продается для Xilinx Virtex и Spartan по цене $1995. www.xilinx.com/systemgenerator_dsp www.xilinx.com/dsp www.xilinx.com/pw2003 1.4.2. Обобщения и выводы Высокоуровневое проектирование (проектирование на системном уровне) обеспеченное инструментами симуляции и анализа - эффективное средство исследования проектного пространства. Однако, подстегиваемые сжатыми сроками на разработку, инженеры зачастую предпочитают пропускать этот этап, ввиду разрыва между средствами разработки на системном и последующих уровнях. System Generator for DSP v3.1 фирмы Xilinx позиционируется как одно из средств, ликвидирующих указанный разрыв. 1.5. "6. IP-компоненты процессоров 6.1. ARM шагает по планете" 1.5.1. Только факты 4 марта ARM и Cadence подписали 5-летнее соглашение ARM обеспечит Cadence полной информацией о IP компонентах от ARM. Cadence обеспечит эффективные синтез и верификацию SoC-систем на базе ARM-компонент. www.arm.com www.cadence.com 4 марта ARM и Synopsys анонсировали доступность методолологии использования всех синтезируемых ядер ARM Новое семейство процессоров ARM11 анонсированое в конце 2002 года выпускается по этой ARM-Synopsys Reference Methodology (ASRM). Теперь и остальные семейства ARM7, ARM9E, ARM10E включают эту методологию как часть продукта. ASRM обеспечивает корректный и эффективный маршрут от RTL к GDSII. Ключевые компоненты ASRM: Design Compiler, Physical Compiler, Astro, PrimeTime, DFT Compiler SoCBIST. Все они также являются частью недавыно объявленной Galaxy Design Platform. www.arm.com www.synopsys.com 4 марта ARM анонсирует интерфейс AMBA SystemC для поддержки проектирования на системном уровне ARM выпустила спецификацию интерфейса AMBA 2 Transfer-Layer SystemC. Эта спецификация - результат совместных усилий ARM, Synopsys, Cadence и CoWare - стандарт на соединение IP-компонент на системном уровне. Новая методология позволяет разработчикам сложных систем использовать IP-компоненты для исследования архитектур SoC, базирующихся на AMBA, эксплуатировать методологию верификации System-to-RTL, что сокращает время выхода на рынок. При разработке спецификации учитывались замечания от Mentor Graphics, Motorola, Philips Semiconductor и Verisity. Разработчики системного уровня успешно используют Synopsys CoCentric System Studio и Transfer-Level AMBA. Интерфейс AMBA 2 Transfer-Layer SystemC будет представлен широкой общественности в середине второго квартала 2003 года на бесплатной основе. SystemC - промышленный стандарт с открытыми исходными текстами для проектирования на системном уровне. www.arm.com www.systemc.org 12 марта Новые средства компиляции ARM RealView поддерживают стандарт ARM C/C++ EABI Перед разработчиками встроенного ПО стоит задача понизить стоимость системы за счет оптимизации использования памяти и минимизации потребления энергии. Для достижения этих целей нужны средства, которые наиболее эффективно используют целевую архитектуру. RealView 2.0 поддерживает требования ISO C++ такие как namespaces, full template support, real-time type information (RTTI) для всех процессоров, включая ARM1136JF-S - первого представителя новой архитектуры ARMv6. ARM C/C++ EABI (Embedded Applications Binary Interface) - это кросс-платформенный стандарт, разработанный ARM в сотрудничестве с с главными поставщиками ОС и средств разработки, который указывает, как исполняемые файлы и разделяемые объекты работают вместе с ОС или другими средами исполнения. Symbian приняла стандарт ARM C/C++ EABI для ОС Symbian. ARM RealView включает: * RealView Compilation Tools включая C и C++ компилятор, ассемблер и линкер (сейчас доступны отдельно) * RealView Debug Solution - состоит из RealView Debugger, RealView ICE emulator и RealView Trace * платы и платформы включая семейство Integrator www.arm.com 12 марта ARM анонсировала оценки EEMBC для ARM1026EJ-S и ARM1020E на всех 5 тестовых пакетах Suite ARM1026EJ-S Core ARM1020E Core Mark Telecommunications 3.94 4.1 Telemarks Office Automation 246.92 206.2 OAmarks Automotive/Industrial 142.75 120.49 Automarks Consumer 23.86 19.3 Consumermarks Networking 5.4 5.4 Netmarks Оптимизация процессоров не выполнялась, симулировалось исполнение по технологии 0.13 мк LV, на частоте 325 Мгц, для компиляции тестов использовался ARM RealView Compiler Tools 2.0 ARM1026EJ-S выполнен на основе архитектуры ARMv5TEJ, включает расширение архитектуры ARM, включая 16-битную систему инструкций Thumb, DSP-расширения и технологию Jazelle для ускорения исполнения Java byte-кода. Поддерживаются Memory Protection Unit для исполнения RTOS и Memory Management Unit для исполнения платформенной ОС. Включена поддержка IEEE754 VFP10 Vector Floating-Point Coprocessor и ETM10RV Embedded Trace Macrocell. Выполненный по технологии 0.13мк ARM1026EJ-S занимает площадь 2.7 кв.мм, потребляет менее 0.5mW/MHz и в худшем случае работает на частоте не ниже 300 Мгц. www.eembc.org www.arm.com 25 марта Conexant лицензировала ARM926EJ-S для создания высокопроизводительных домашних сетевых процессоров Ранее Conexant уже лицензировала ARM7TDMI, ARM920T и ARM940T. Аналитическая фирма In-Stat MDR предсказывает рост количества домашних сетевых устройств с 20.5 миллионов в 2002 году до 167 миллионов устройств в 2006 году. ARM926EJ-S включает 32-битный RISC CPU, конфигурируемые по размеру кеши инструкций и данных, устройство управления памятью. ARM926EJ-S поддерживается такими ОС как Symbian, Linux, и Windows CE. www.arm.com www.conexant.com www.mindspeed.com 2 апреля Accelerated Technology поддерживает в RTOS Nucleus семейство процессоров ARM10 Accelerated Technology - это подразделение "Embedded Systems Division" фирмы Mentor Graphics. Семейство ARM10 включает hard-процессоры ARM1020E/ARM1022E и синтезируемый и конфигурируемый soft-процессор ARM1026EJ-S. Лицензия на RTOS Nucleus стоит от $12,495. Этот релиз поддерживается code/lab Embedded Developer Suite и ARM RealView Developer Suite. www.acceleratedtechnology.com www.mentor.com 3 апреля IBM добавила ARM1026EJ-S в свои пользоватльские ASIC Процессор ARM1026EJ-S может использоваться в ASIC от IBM наряду с PowerPC и сотнями других IP-компонент. ARM1026EJ-S - это часть технологии Blue Logic от IBM, предполагающей внедрение в пользовательские чипы ранее спроектированных IP-компонент с помощью центров IBM, разбросанных по всему миру. www.ibm.com/chips www.arm.com 14 апреля Oki Semiconductor пополняет семейство микроконтроллеров на базе ARM7TDMI серией ML675K Серия ML675K совместима по контактам с ранее выпущенной серией ML674K, имеет 32 Кбайт внутренней памяти SRAM, 4K внутренней загрузочной ROM. Кроме того, ML67Q5002 включает 256 Кбайт флеш-память, а ML67Q5003 включает 512 Кбайт флеш-память. К другим свойствам МК серии ML675K относятся: - 2-х канальный контроллер DMA - промышленный диапазон температур - от -40 до +85 градусов по Цельсию - watchdog-таймер и таймеры общего назначения - АЦП - рабочая частота - до 60 Мгц - множество последовательных портов, включая UART, SIO, I2C Цена - менее $5 в партиях по 100,000. www.okisemi.com/us 22 апреля Philips выпускает LPC2100 - семейство микроконтроллеров на базе 32-битного ARM7TDMI-S по технологии 0.18 мк с флеш-памятью, напряжение питания - 1.8В. LPC2100 оптимизирован и под низкое потребление энергии, и под высокую производительность: работая на частоте 60 МГц, обеспечивает 54 Dhrystone MIPs. LPC2100 содержит 128 Kб флеш-памяти, от 16 до 64 Кб SRAM, последовательные интерфейсы (I2C, SPI, 2 UART), таймеры, EmbeddedICE-RT и ETM (Embedded Trace Macrocell). По мнению Philips, как 80C51 стал рабочей лошадкой на рынке 8-битных МК, так ARM7TDMI-S станет ведущим на рынке 32-битных контроллеров. Чипы LPC2100 поддерживаются средствами разработки от ARM, Ashling, Hitex, Keil Software, Nohau и Phytec. Чипы LPC2100 стоят от $5 до $8 за штуку в партиях по 10,000. www.semiconductors.philips.com 1.5.2. Обобщения и выводы ARM продолжает стремительное развитие и получает все более и более ширококе признание: - лидеры средств синтеза Cadence и Synopsis оптимизируют свои разработки под ядра процессоров и другие IP-компоненты от ARM - ARM процессоры лицензировали: фирма Conexant (ARM926EJ-S) для создания высокопроизводительных домашних сетевых процессоров, IBM (ARM1026EJ-S) для внедерния в свои пользовательские ASIC - на базе ARM7TDMI выпустили свои микроконтроллеры: Oki Semiconductor (ML675K), Philips (LPC2100). - RTOS Nucleus фирмы Accelerated Technology теперь поддерживает семейство процессоров ARM10 (hard-процессоры ARM1020E/ARM1022E и soft-процессор ARM1026EJ-S) - EEMBC провел тестирование процессоров ARM1026EJ-S и ARM1020E на всех 5 тестовых пакетах: Telecommunications, Office Automation, Automotive/Industrial, Consumer, Networking ARM параллельно с развитием архитектур развивает и средства разработки прикладных систем на бахе ARM-архитектур, в частности выпущены : - ARM C/C++ EABI (Embedded Applications Binary Interface) - кросс-платформенный стандарт, разработанный ARM в сотрудничестве с с главными поставщиками ОС и средств разработки, который указывает, как исполняемые файлы и разделяемые объекты работают вместе с ОС или другими средами исполнения - новая версия RealView 2.0, интегрирующая средства компиляции (RealView Compilation Tools) и отладки (RealView Debug Solution) - AMBA 2 Transfer-Layer SystemC - стандарт на соединение IP- компонент на системном уровне. 1.6. "6.2. MIPS - с отставанием от ARM, но с опережением всех остальных" 1.6.1. Только факты 8 апреля Чип ADM5120 - это первая выпущенная в Тайване SoC на базе процессора MIPS Этот чип выпущен фирмой ADMtek, интегрирует ядро MIPS32 4Kc, PHY, USB 1.1., и PCI-мост - для сетевых устройств. www.admtek.com.tw www.mips.com 29 апреля Cadence Encounter Platform позволил Toshiba произвести самый быстрый (530 Мгц) синтезируемый 64-битный MIPS-процессор Был использован Cadence RTL Compiler для синтеза (недавно приобретенный вместе с покупкой самой фирмы Get2Chip) и роутер NanoRoute Ultra. www.cadence.com 1.6.2. Обобщения и выводы MIPS продолжает удерживать свои позиции на рынке 64-битных синтезируемых процессорных ядер, но и на 32-битные MIPSы тоже имеется определенный спрос. 1.7. "6.3. И другие процессорные ядра" 1.7.1. Только факты 12 марта EEMBC опубликовал результат тестирования LSI Logic ZSP 500 Симуляция происходила на частоте 325 Мгц на пакете тестов Telecom. Результат - на нептимизированном ядре - 8.4, оптимизированном под тест-пакет фирмой LSI Logic - 140.4 Tekemarks. Использовался компилятор Green Hills ZSP 3.5.2. Более детальные результаты: www.eembc.org/benchmark/score/ScoreReportWin.asp?BenchmarkS eq=377&CertificationType=OUT www.eembc.org/benchmark/score/ScoreReportWin.asp?BenchmarkS eq=378&CertificationType=OPT www.zsp.com/zsp500.html 24 марта Средства разработки для встроенного процессора Nios доступны для Altera FPGA Cyclone Средства разработки включают: Nios 3.0, Cyclone EP1C20, Quartus II, SOPC Builder и полный набор средств разработки программного обеспечения. На сегодня продано более 10,000 таких китов. Плата разработки включает Cyclone EP1C20, 1 Mбт SRAM, 16 Mбт SDRAM, 8 Mбт флеш-памяти, 10/100 Ethernet порт, два последовательных порта, коннектор Mictor для отладки ПО. Кит также поддерживается средствами разработки от Accelerated Technology, включая Nucleus RTOS и code|lab Developer Suite. www.altera.com/nios www.altera.com/devkits www.altera.com/cyclone www.acceleratedtechnology.com 14 апреля Altera FPGA Cyclone и процессор Nios обеспечивают беспроводное считывание измерений RECAPS (Remote Energy Consumption Acquisition Processor System) - компактная беспроводная система мониторинга, которая оптически считывает измерения и передает их на радиочастотах к центру обработки данных. RECAPS берет цифровое фотоизображение с существующего устройства измерения, выполняет распознавание для получения цифровых данных. Эти данные передаются с помощью радиосвязи под управлением процессора Nios, который собирает данные от тысяч RECAPS - устройств, расположенных на значительном расстоянии (до 20 км). Затем концентратор посылает данные по проводной или беспроводной связи сетевому оператору. Nios имеет 16-разрядные инструкции и конфигугируемые пользователем 16 или 32-битные пути данных. Типичный Nios на базе FPGA Cyclone стоит менее $2.00. FPGA Cyclone изготавливаются по технологии 0.13 мк. www.altera.com/cyclone www.altera.com/nios 16 апреля Accelerated Technology обеспечивает полный набор средств разработки для процессроа Nios code|lab Embedded Developer Suite продается в составе Nios Development Kit для FPGA Stratix и Cyclone. Разработчики, использующие кит для Nios, могут отлаживать свои исходные тексты с помощью code|lab Debug, соединяя разрабатываемое устройство с отладчиком с помощью code|lab Connections. Кроме того, Nios 3.0 включает поддержку для ядра OCI (On-Chip Instrumentation) от FS2 (First Silicon Solution). OCI посредством интерфейса JTAG обеспечивает аппаратные возможности управлять исполнением, анализ/модификацию содержимого памяти, аппаратные и программные точки останова, трассирование в реальном времени. С момента своего анонса - осенью 2000 года - Nios пользуется огромной популярностью у разработчиков. www.acceleratedtechnology.com/all_access/index.html www.fs2.com www.mentor.com buy.altera.com www.altera.com 21 апреля Altera представила NIOS - свой реконфигурируемый RISC процессор за $2 - на Embedded Systems Conference в Сан-Франциско Использование Nios возможно и эффективно и в дешевых FPGA семейства Cyclone и в высокопроизводительных FPGA семейства Stratix с помощью инструментальной системы SOPC Builder. www.altera.com/education/events/northamerica/evt-esc2003.html 23 апреля Infineon блеснула новинками на Embedded Systems Conference 2003 в Сан-Франциско Список новинок включает: - C166S Core IP Evaluation Kit - TriCore 1MP-S - полностью конфигурируемое и синтезируемое ядро, поставляемое как часть Synopsys DesignWare Star IP Program - C164SV - 16-битный МК для управления двигателями - TC1910 и TC1912 - 32-битные МК для аудиообработки информации. Архитектура C166 активно используется и распространяется как лицензируемое синтезируемое процессорное ядро с 2000 года. Теперь с выпуском C166S Core IP Evaluation Kit (EK), разработчики могут быстрее верифицировать SoC, используя Xilinx Vertex-2000E FPGA для одновременной эмуляции и ядра процессора и окружения, обеспечивая ранее начало отладки программного обеспечения и верификации всей системы в целом. EK содержит главную плату (с FPGA и системной памятью), периферийную плату для интерфейса и периферийных устройств, средства конфигурации и тестирования. Архитектура TriCore 1 идеально походит для приложений которые требуют одновременного наличия функциональности и МК, и DSP. Комплект DesignViews включает средства симуляции и полную документацию, поддерживает TriCore1MP-S и C166S. Synopsis распространяет TriCore 1 в виде VHDL и Verilog. Новый МК C164SV основан на архитектуре C166 и включает дополнительную периферию (PWM, ADC, и др.). Новые МК TC1910 и TC1912 базируются на архитектуре TriCore, добавлен высокопроизводительный аудио-кодек. Поставляется программное обеспечение BlueTooth, MP3, GPS и др. www.synopsys.com/designware www.infineon.com/news www.infineon.com/C166 www.infineon.com/tricore www.infineon.com/microcontrollers 1.7.2. Обобщения и выводы Несмотря на лидирующие позиции ARM на рынке синтезируемых процессорных ядер находится место и многи другим архитектурам и их разарботчикам, в частности в текущем периоде упомянуты: ZSP 500 фирмы LSI Logic, Nios фирмы Altera, C166S и TriCore 1MP-S фирмы Infenion. 1.8. "6.4. Сетевые процессоры" 1.8.1. Только факты 17 марта Wintegra использует Cadence Encounter Platform для создания семейства пакетных процессоров WinPath WinPath - семейство одночипных решений, которые обрабатывают протоколы доступа к сетям. WinPath обрабатывает более 20 протоколов одновременно и дебютировал в ноябре 2001 года. Winterga - fabless-компания, основанная в январе 2000 года. www.wintegra.com www.cadence.com 1.8.2. Обобщения и выводы Специализированные сетевые процессоры - чрезвычайно перспективное направление разработок. Об этом же свитедельствуют как то, что семейство пакетных процессоров WinPath разрабатывается молодой fabless-компанией (Winterga создана в 2000 году), так и то, что такое сообщение всего одно. 1.9. "7. В мире ПЛИС 7.1. ПЛИС расширяют сферу применения" 1.9.1. Только факты 31 марта Xilinx продает первый программируемый чип, выполненный по технологии 90 нм Такой чип имеет размеры на 80% меньше чем аналог, выполненный по технологии 130 нм. Как результат цена чипа объемом 1 миллион вентилей (примерно 17,000 логических ячеек) станет меньше $25, что на 35-80% дешевле конкурентов. Шаги Xilinx в технологии: 1998 - 0.25 мк 1999 - 0.18 мк 2001 - 0.15 мк 2002 - 0.13 мк 2003 - 0.09 мк Для сравнения - 0.09 мк=90 нм - это меньше чем одна тысячная человеческого волоса. www.xilinx.com 14 апреля Xilinx анонсирует FPGA Spartan-3, выполненные по технологии 90 нм и 300 мм По прогнозам Xilinx цена на устройства будет начинаться с $3.50, а емкость - от 50К до 5М системных вентилей. Таким образом, Spartan-3 станет самым дешевым семейством с ценой менее $20 за одно-миллионо- вентильную FPGA и менее $100 за 4-миллионо-вентильную FPGA. Это на 80% меньше чем у конкурентов. Выходя на технологии 90 нм и 300 мм Xilinx присоединилась к другим гигантам, таким как IBM, Intel и Texas Instruments. FPGA Spartan-3 становятся серьезными кандидатами на замещение ASIC даже в приложениях с большими объемами выпуска продукции. С момента введения в 1998 году FPGA Spartan продано более 50 миллионов чипов этого семейства. 90 нм - расстояние между двумя проводниками схемы на чипе и это меньше чем 1/1000 толщины человеческого волоса. 300 мм - размер диаметра силиконового диска, используемого при производстве чипов. Чем он больше, тем больше чипов может быть изготовлено на нем. www.xilinx.com/spartan www.xilinx.com/pw2003 21 апреля Altera продала 300-миллионную CPLD семейства MAX фирме Alcatel CPLD семейства MAX выпускаются с 1988 года, поддерживая развитие обоих направлений - дешевые CPLD, скоростные CPLD. Ими пользуются более 25000 пользователей по всему миру. Alcatel использует MAX CPLD более 10 лет. CPLD MAX (Multiple Array Matrix) обеспечивают от 600 до 12,000 полезных вентилей. www.altera.com 21 апреля Cypress анонсирует CY23FP12 - конфигурируемый FPGA-буфер с нулевой задержкой CY23FP12 обеспечивает настраиваемое на приложение управление входами и выходами (до 12), включая деление частоты, инверсию и др. Цена на CY23FP12 начинается от $4.75 за штуку в партиях по 25,000. Программная система CyberClocks, поддерживающая CY23FP12, распространяется бесплатно. www.cypress.com/products/datasheet.cfm?partnum=CY23FP12 www.cypress.com/support 1.9.2. Обобщения и выводы Xilinx, перейдя на технологию 90 нм и 300 мм (FPGA семейства Spartan-3), прогонозирует, что одномиллионо- вентильная FPGA будет стоить менее $20, а 4-миллионо-вентильная FPGA - менее $100, тем самым агрессивно претендуя на рынок ранее принадлежащий ASIC. 1.10. "7.2. Развитие средств проектирования ПЛИС" 1.10.1. Только факты 4 марта Xilinx выпустила ISE 5.2i и ChipScope Pro 5.2i - существенно уменьшив стоимость проектирования Эти продукты обеспечивают повышение производительности проектов на 20%, коэффициента использования логики - на 15% и вдвое дешевую стоимость проектирования по сравнению с аналогами. Переход Xilinx на технологию 90 нм позволил сократить до $25 стоимость одномиллионовентильной FPGA (~17,000 логических ячеек), что на 35-70% дешевле, чем у конкурентов. В средства разработки встроены все современные методологии (Incremental Design, Modular Design, Macro Builder), поддерживается коллективная разработка. ChipScope Pro 5.2i - ПО для верификации в реальном времени сейчас лучше интегрировано с ISE Project Navigator. Для Virtex II Pro и процессора Power PC поддерживаются OPB (on-chip peripheral bus) и PLB (IBM CoreConnect Processor Local Bus). Цена ISE и ChipScope Pro - от $695. Оценочные версии бесплатно доступны с сайта Xilinx. www.xilinx.com/ise 24 марта Get2Chip выпускает новую версию RTL Syntesis Compiler: в два раза увеличена емкость, в 4 раза - производительность G2C-RC 03 поддерживает Verilog 2001 и открытый доступ к базе данных со стандартным интерфейсом. Цена G2C-RC 03 - $300K за 3-летнюю лицензию. www.get2chip.com 25 марта Altera и MJL Technology анонсировали Cyclone Development Kit Как и MJL Stratix DK, MJL Cyclone DK включает плату разработки, программные средства разработки Quartus II Web Edition и несколько документированных проектов. Чипы Cyclone позволяют в силу своей дешевизны использовать их при выпуске массовой продукции. MJL Cyclone DK стоит $695. Др. Mahn-Jick Lim основал MJL Technology в 1988 году в Корее. www.mjl.com/devkit www.altera.com/cyclone 26 марта Xilinx анонсирует следующее поколение бесплатных средств проектирования ISE WebPACK 5.2i WebPack насчитывает уже более 100,000 зарегистрированных пользователей (из них около 40,000 - за последний год). Новинки 5.2i: - улучшен HTML Fitter Report - выполнена поддержка уравнений для VHDL, Verilog и ABEL - обновлен ModelSim-II Xilinx Edition www.xilinx.com/ise/webpack5 10 апреля Cadence купила фирму Get2Chip Cadence планирует интегрировать синтезатор от Get2Chip в свою платформу Cadence Encounter. Cadence планирует оставить большинство работников Get2Chip и будет продолжать поддерживать всех пользователей и все продукты от Get2Chip. www.cadence.com 14 апреля Mentor Graphics выпустила ModelSim версии 5.7 Новая версия предлагает повышенную производительность симуляции, новые возможности отладки, дополнительную поддержку для Verilog 2001 и новую функциональность по управлению работами для ферм симуляции. По оценкам специалистов Mentor производительность симуляции в ModelSim 5.7 повышена от 1.3 до 2 раз. Новые возможности анализа покрытия кода: покрытие сущностей, покрытие операторов, покрытие ветвленией и новый пользовательский интерфейс. В версии ModelSim 5.7 пользователь может отлаживать С и С++ код непосредственно в окне с исходным текстом в ModelSim. Это позволяет пользователям отлаживать VHDL, Verilog и C/C++ тексты с одним и тем же интерфейсом. Пользователи могут иметь доступ ко всем структурам данных в С-программе также как и ко всем HDL-сигналам. Пользователь может выполнять пошаговую отладку как по HDL-коду, так и по C-коду. Для повышения производительности регерессионного тестирования в ModelSim 5.7 используется JobSpy. JobSpy теперь поддерживает GridWare от Sun Microsystems в дополнение к Platform Computing LSF. ModelSim 5.7. поддерживает больше свойств из Verilog 2001. Цена постоянной лицензии на ModelSim 5.7. начинается от $4,495 за ModelSim PE и от $19,000 за ModelSim SE. Поддерживаются разнообразные формы лицензирования, в том числе и ограниченные по времени лицензии. echo.model.com/model/jobspy/jobspy_reg.asp. www.model.com/products/verilog_ad/verilog_2001.asp. www.mentor.com 15 апреля Synplicity анонсирует поддержку Xilinx FPGA Spartan-3 в своих продуктах Synplify и Synplify Pro www.synplicity.com 16 апреля Mentor Graphics поддерживает проектирование для Xilinx FPGA Spartan-3 в своем пакете FPGA Advantage FPGA Advantage включает логический симулятор ModelSim XE v5.6e, синтезаторы Precision RTL Synthesis и LeonardoSpectrum. www.xilinx.com/spartan3 www.mentor.com 16 апреля Altium поддерживает Xilinx FPGA Spartan-3 в своем продукте nVisage До 6 августа 2001 года Altium называлась Protel International Limited. Нынешние продукты Altium включают разработки от таких брендов как Protel, nVisage, P-CAD, TASKING, Accolade, CircuitMaker, CAMtastic. www.xilinx.com/spartan3 www.altium.com 21 апреля Cadence усиливает поддержку Xilinx Spartan-3 Верификационная платформа Cadence Incisive оптимизирована под Spartan-3. Чипы FPGA-семейства Spartan-3, изготавливаемые по технологии 90 нм, могут содержать от 50K до 5M системных вентилей. www.xilinx.com/spartan3 www.cadence.com 1.10.2. Обобщения и выводы Одновременно с анонсом Xilinx нового семейства FPGA Spartan-3 поддержку новому семейству анонсировали и крупнейшие производители средств разработки: Cadence (верификационная платформа Incisive) Mentor Graphics (пакет FPGA Adavantage, включающий логический симулятор ModelSim XE v5.6e, синтезаторы Precision RTL Synthesis и LeonardoSpectrum), Synplicity (синтезаторы Synplify и Synplify Pro), Altium (пакет проектирования nVisage). Непрерывно совершенствуют свои средства разработки как производители ПЛИС: Xilinx (ISE 5.2i, ChipScope Pro 5.2i, ISE WebPACK 5.2i), Altera (Cyclone Development Kit), так и EDA- компании: Mentor Graphics (ModelSim 5.7), Get2Chip (RTL Syntesis Compiler). Важным шагом в развитии ModelSim стала возможность, начиная с версии 5.7., отлаживать С и С++ код непосредственно в окне с исходным текстом в ModelSim. Это позволяет более эффективно использовать ModelSim при совместной отладке программного и аппаратного обеспечения, а также при высокоуровневом проектировании. Наконец, интересным, хотя и неожиданным на фоне успехов фирмы Get2Chip, событием стало приобретение Get2Chip, вместе с ее разработками, фирмой Cadence. 1.11. "7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.2. Телекоммуникации" 1.11.1. Только факты 8 апреля Cypress выпустила CY7C68310 - USB-контроллер для ATA/ATAPI контроллеров памяти Паралельно выпущен документированный проект CY4617. Проект включает оценочную плату, аппаратное и программное обеспечение, драйвер для Windows, и утилиты, необходимые для разработки USB-интерфейса к периферийным устройствам памяти. Цена CY7C68310 - от $4.95 в партиях по 1000 штук. www.cypress.com 14 апреля Lattice Semiconductor выпускает 4-канальный SERDES ORT42G5 FPSC предлагает 4 канала, передающих/принимающих от 0.6 до 3.7 гигабит/сек, плюс встроенное 8b/10b кодирование, XAUI и FibreChannel машины состояний и более 10,000 логических элементов. Устройство имеет также 204 программируемых пользователем контакта ввода/вывода. Устройство поддерживается комплектом разработки от Lattice - ispLEVER 3.0. Документация (SERDES Handbook) доступна на сайте. Цена - $80.00 в партиях от 10,000 штук. www.latticesemi.com 23 апреля Atmel выпустила AT43USB370 - USB 2.0 Host/function Processor AT43USB370 обеспечивает снятие функциональности USB-драйвера с центрального процессора. Обычно при реализации USB выделяют два комплекта разработки: USB firmware stack и USB physical layer. Такой подход требует более значительного опыта в USB и увеличивает время разработки. AT43USB370 реализует всю функциональность USB на одном чипе. Теперь разработчикам системы достаточно изучить небольшое множество классов API, чтобы успешно разрабатывать драйверы USB и прикладные программы. В режиме 'host' AT43USB370 может быть присоединен к USB-хабу для поддержки множества USB-устройств. В режиме 'function' AT43USB370 может оперировать как низкоскоростное или высокоскоростное устройство. Имеется комплект разработчика (development kit) AT43DK370, включающий документированный проект на базе ARM7, двоичную библиотеку AT43USB370 firmware, API драйвера USB, средства диагностики и отладки. Цена AT43USB370 - от $4.89 при поставках в партиях по 10,000. www.atmel.com/dyn/resources/prod_documents/3340s.pdf www.atmel.com/ad/plugplayhost 1.11.2. Обобщения и выводы Кому как не фирмам-изготовителям ПЛИС демонстрировать достоинства своих чипов при выполнении реальных разработок. Создав масштабируемое устройство для высокоскоростной последовательной передачи данных Lattice Semiconductor не забыла оставить в нем 10,000 логических элементов для настройки под конкретную прикладную задачу. Cypress и Atmel создали устройства для поддержки приема и передачи информации по протоколу USB. 1.12. "8. IP-компоненты для ПЛИС и ASIC 8.1. DSP-обработка" 1.12.1. Только факты 2 апреля Xilinx выпускает новую библиотеку IP-компонент для упрощения разработки коммуникационных проектов Библиотека содержит 16 высокопроизводительных DSP-компонент, включая Fast Fourier Transform и Viterbi Decoder. FFT-компонента обеспечивает обработку данных с производительностью 200 миллионов образцов в секунду и длиной преобразования от 16 до 16,384 точек. Viterbi Decoder обеспечивает производительность 199 MSPS (mega samples per second) в одноканальном режиме и 273 MSPS в мультиканальном режиме. Цена Viterbi Decoder - $5,000 за параметризованный net-list. Полная возможность аппаратной оценки этой IP-компоненты предоставляется по адресу: www.xilinx.com/ipcenter/ipevaluation/index.htm www.xilinx.com/dsp www.xilinx.com/pw2003 1.12.2. Обобщения и выводы Разработка IP-компонентов для цифровой обработки сигналов сложное, но и чрезвычайно перспективное направление деятельности как по востребованности, так и по цене сбыта продукции. 1.13, "8.2. Телекоммуникации" 1.13.1. Только факты 15 апреля Mentor Graphics добавляет IP-компоненту USB OTG в библиотеку Inventra Дополнение OTG (On-The-Go) в спецификацию USB 2.0 обеспечивает портативные устройства возможностью взаимодействовать без PC. Они могут присоединяться непосредственно друг к другу для обмена данными. Поддерживается связь с такими устройствами как клавиатура, принтер, спикер. MUSBHDRC (Mentor Graphics Inventra USB Hi-Speed Dual-Role Controller) - это синтезируемая IP-компонента, обеспечивающая работу и хоста, и периферийного устройства. www.mentor.com/inventra 21 апреля Palmchip выпускает BK-3720 - IP-компоненту для Serial ATA BK-3720 предназначен обеспечить интерфейс между хост-системой и контроллером внешней памяти. BK-3730 обеспечивает передачу со скоростью 150 Мб/с по протоколу Serial ATA. BK-3720 поддерживает 48-битную адресацию секторов и имеет 256-байт FIFO для буферирования данных, а также буфера для входящих и выходящих пакетов и пакетных команд ATAPI. IP компонента обеспечивает работу и на стороне 'host', и на стороне 'target'. На стороне 'target' BK-3720 декодирует приходящие от host-а команды и устанавливает соответствующие прерывания и состояние для встроенного процессора, обрабатывающего различные ATA-команды. Palmchip была основана в 1996 году в США. www.palmchip.com www.serialata.org 1.13.2. Обобщения и выводы Mentor Graphics выпустила IP-компоненту для USB-OTG, а Palmchip - для Serial ATA. 1.14. "8.3. Шифрование" 1.14.1. Только факты 15 апреля Xilinx анонсирует поддержку Spartan-3 IP-компонентами AllianceCORE В AllianceCORE входят: -- CAST, Inc., Woodcliff Lake, New Jersey (www.cast-inc.com), предлагает IP-компоненты процессоров, периферийных устройств, мультимедиа-устройств, сетевых устройств, устройств шифрования, коммуникационных устройств -- Eureka Technology, Los Altos, California (www.eurekatech.com), предлагает IP-компоненты PCI, PCMCIA, управления памятью -- Helion Technology Ltd., Cambridge, UK (www.heliontech.com), предлагает IP-компоненты шифрования, DSP алгоритмов -- Memec Design, Tempe, (www.memecdesign.com/xilinx), предлагает IP-компоненты шифрования и коммуникации -- Pinpoint Solutions, Inc., Boulder, Colorado (www.asic-design.com) предлагает IP-компоненты для телекоммуникации -- Robert Bosch GmbH, Reutlingen, Germany (www.can.bosch.com) предлагает IP-компоненты промышленных и автомобильных интерфейсов -- Xylon d.o.o., Zagreb, Croatia (www.logicbricks.com) предлагает коммуникационные контроллеры. www.xilinx.com/ipcenter/signonce www.xilinx.com/spartan3 1.14.2. Обобщения и выводы IP-компоненты шифрования чрезвычайно востребованы на рынке. Хорошим подтверждением этому служит тот факт, что они производятся многими разработчиками, в том числе: CAST, Helion Technology, Memec Design. 1.15. "8.4. Как распространяются IP-компоненты" 1.15.1. Только факты 17 марта Altera оптимизировала 240 IP компонент для FPGA Stratix и Cyclone В рамках программ OpenCore и OpenCore Plus в течение последних шести месяцев разработчики БЕСПЛАТНО более 10,000 раз оценили IP-компоненты в своих проектах в железе, прежде чем покупать IP-компоненты. FPGA Stratix выполнены по технологии 0.13 мк с напряжением питания 1.5 вольта, содержат от 10,570 до 79,040 логических элементов, до 7 мегабит RAM, до 22 DSP блоков, где до 176 встроенных умножителей. FPGA Cyclone имеют от 2,910 до 20,060 логических элементов, до 288 кбит памяти. Являются самыми дешевыми у Altera. www.altera.com/IPmegastore www.altera.com/stratix www.altera.com/cyclone 22 апреля TSMC объявляет QuickStart - программу бесплатного прототипирования IP-компонент TSMC (Taiwan Semiconductor Manufacturing Company) предоставляет бесплатный доступ к библиотеке IP-компонент от TSMC и других провайдеров на период проектирования. Оплата IP-компонент производится с момента начала производства. Список партнеров TSMC, представляющих свои IP-компоненты для прототипирования включает: Cadence Design Systems, Mentor Graphics, Barcelona Design. В библиотеку входят такие IP-компонеты как ядра CPU, PCI-Express, USB OTG Controller, 3.125GbSerDes, Ethernet MAC, Synthesizable PLL, USB, LVDS, SSTL2, HSTL Specialty IO и др. www.tsmc.com 1.15.2. Обобщения и выводы Altera в рамках программ OpenCore и OpenCore Plus обеспечила разработчикам возможность БЕСПЛАТНО оценивать свои IP-компоненты непосредственно в железе ДО их приобретения. TSMC (Taiwan Semiconductor Manufacturing Company) революционизировала такой подход, предоставляя бесплатный доступ к библиотеке IP-компонент от TSMC и других провайдеров на ВЕСЬ период проектирования. 1.16. "9. Верификационные IP-компоненты 9.2. Другие языки и средства верификации симуляцией" 1.16.1. Только факты 4 марта Novas снабжает Verdi возможностью верифицировать SoC Теперь Verdi позволяет инженерам использовать assertions и анализировать результаты в контексте проекта. Обеспечивается интероперабельность со сторонними разработками. Assertions выражают предполагаемое поведение непосредственно в HDL тексте. Assertions могут проверяться симуляторами и средствами формальной логики. Assertions помогают локализовать проблемы и их причины. В настоящее время Verdi поддерживает OpenVera Assertions. Планируется поддержка Accelera Assertions. Цена Verdi за годичную лицензию - от $14,000. www.novas.com 4 марта Synopsys Galaxy Design Platform снабжена SoCBIST SoCBIST разрабатывался при поддержке ARM, Agilent и STMicroelectronics и основан на стандарте IEEE P1450.6 Core Test Language (CTL). DFT Compiler автоматически синтезирует IP компоненты для встроенного тестирования (по стандарту IEEE P1450.6 CTL) и создает их модели. Далее TetraMAX ATPG генерирует повторно используемые тесты для этих IP-компонент с высоким уровнем покрытия. Затем SoCBIST читает CTL-модели и автоматически интегрирует эти компонеты в SoC. Цена на годичную лицензию DFT Compiler SoCBIST - от $175,000. www.synopsys.com 17 марта NEC Micro Systems переходит на средства отладки Debussy фирмы Novas NEC Micro Systems работала с Debussy уже три года и теперь подписала с Novas многолетнее соглашение. Отладчик Debussy интегрирован с коммерческим логическими симуляторами, эмуляторами и средствами формальной верификации более чем 25 ведущих поставщиков. www.necms.com www.novas.com 24 марта Новая технология автоматизации верификации от Agilent Technologies ускоряет верификацию коммуникационных чипов Agilent Advanced Design System (ADS) 2003A обеспечивает среду для проектирования и симуляции таких устройств как сотовые телефоны, пейджеры, беспроводные сети, спутниковые коммуникационные системы. Верификация RF (radio frequency) схем ускоряется от 200 до 1000 раз. www.agilent.com/find/eesof www.agilent.com/go/news 1 апреля Aldec выпускает Riviera 2003.03, обеспечивая поддержку SystemC и повышая производительность Проведена оптимизация компилятора для симуляции, что сокращает время компиляции на 50% по сравнению с предыдущими версиями. Кроме того, обеспечено более эффективное использование оперативной памяти во время компиляции и симуляции. Теперь Riviera 2003.03 поддерживает совместную с VHDL/Verilog симуляцию SystemC - моделей как компонент и тестбенчей. Результаты их симуляции можно анализировать с помощью Riviera Waveform Viewer/Editor. Появились также две новые отладочные возможности: Toggle Coverage и Assertion Support. Reviera-IPT - версия Riviera для аппаратной акселерации включает улучшенный Design Verification Manger для упрощения управления: какие модули выгружать в эмуляцию, а какие оставить в симуляции. Riviera 2003.03 включает улучшенный интерактивный интерфейс с Summit Design Visual Elite 3.0, улучшенный интерфейс с Novas Debussy (обновлен FSDB writer), оптимизированный VHPI/PLI. Riviera 2003.03 распространяется с плавающими лицензиями для ОС Unix, Windows, Linux. Симуляция поддерживает стандарты IEEE VHDL 1076-87/93 и Vital 2000, Verilog 1376-95 и 2001. Бесплатную оценочную копию Riviera можно получить с сайта фирмы. www.aldec.com/riviera 2 апреля 0-In выпускает IP-компоненту PCI Express для симуляции, формальной верификации, аппаратной акселерации и эмуляции Мониторы CheckWare фирмы 0-In - это часть цельной ABV (assertion- based verification)-стратегии интероперабельности, которая уже поддерживает Verilog и стандарты PSL/Sugar от Accellera. Мониторы CheckWare тестируют соответствие проектов стандартным интерфейсам и интероперабельны с другими продуктами, которые поддерживают те же стандарты. Монитор PCI Express фирмы 0-In верифицирует протокол PCI Express в SoC-проектах. Во время симуляции, аппаратной акселерации и эмуляции монитор PCI Express предупреждает пользователя обо всех нарушениях протокола. Ранее были выпущены мониторы интерфейсов PCI, PCI-X, AMBA, AGP, SPI-4.2, POS-PHY, UTOPIA, HyperTransport, InfiniBand, RapidIO, SDRAM и DDR SDRAM. PCI Express - это технология последовательной передачи данных, разрабатываемая PCI-SIG с производительностью передачи до 16 гигабайт в секунду и обратной поддержкой стандарта PCI. 0-In была основана в 1996 году. www.0-in.com 7 апреля Airbus выбрала Esterel Technologies для проектирования и верификации A380 Успешно использовав SCADE от Esterel Technologies при проектировании A3400-600 (время разработки было сокращено вдвое), Airbus приняла решение перевести свои проекты с SAO на SCADE. SCADE выбран за свои возможности создавать формальные и ясные спецификации, из которых автоматически генерируется встроенное программное обеспечение, "корректное по построению". www.esterel-technologies.com 14 апреля Aldec интегрирует в Riviera 2003.03 поддержку OpenVera Assertions OpenVera Assertions (OVA) - это высокоуровневый язык, который содержит мощные декларативные конструкции для точной спецификации проекта и используемые и в динамической, и в формальной верификации. Поддержка OVA дает разработчикам: - сокращение циклов симуляции - полный доступ ко всем переменным, описывающим состояние проекта - связывание обнаруженных ошибок с исходными текстами - более эффективные тесты - возможность автоматизировать тесты, основанные на обратной связи от assertions и функционального покрытия. www.aldec.com 1.16.2. Обобщения и выводы Прежде всего необходимо подчеркнуть интенсивное внедрение в практику верификации "Assertions", которые выражают предполагаемое поведение непосредственно в HDL тексте. "Assertions" могут проверяться симуляторами и средствами формальной логики. В обозреваемом периоде о поддержке "Assertions" в своих продуктах заявили такие фирмы как Novas (Verdi), Aldec (Riviera 2003.03) 0-In (CheckWare), Фирма Aldec выпустила новую версию Riviera (2003.03), среди основных достоинств которой наряду с поддержкой OpenVera assertions существенное повышение производительности симуляции и компиляции и развитие интерфейсов взаимодействия со сторонними системами. Synopsis разработала средства генерации для встроенного тестирования (SoCBIST). Agilent Technologies выпустила ADS 2003A - среду верификации коммуникационных чипов. Esterel Technologies продолжает занимать прочные позиции среди средств верификации в авиационной промышленности. 1.17. "9.3. Средства формальной верификации" 1.17.1. Только факты 4 марта Mentor Graphics совместно с Thales и Xilinx разрабатывает средства формальной верификации для FPGA В дополнение к ModelSim и Precision Synthesis, Xilinx лицензирует у Mentor Graphics FormalPro - технологию проверки эквивалентности. Thales Communication производит электронные устройства для военных нужд и авионики. www.mentor.com 24 марта LogicVision и Prover Technology повышают производительность разработчиков SoC LogicVision - поставщик аппаратного обеспечения встроенного тестирования. Prover Technology (основана в 1989 году) - разработчик средств формальной верификации. Совместная разработка позволит пользователям ускорить верификацию мультимиллионо-вентильных SoC. www.logicvision.com 14 апреля Atrenta анонсирует SpyGlass Constraints SpyGlass Constraints анализирует ограничения на проект перед выполнением синтеза и имеет новые средства визуализации. Цена на SpyGlass Constraints будет начинаться от $40,000. www.atrenta.com 1.17.2. Обобщения и выводы Средства формальной верификации от Atrenta и Prover Technology имеют определенную известность, гораздо большую, нежели FormalPro от Mentor Graphics. Важно отметить, что интерес к формальным средствам верификации проявили реальные разработчики электронных устройств (Thales Communication, LogicVision). 1.18. "10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.3. Персональные средства для прототипирования ASIC" 1.18.1. Только факты 3 марта HARDI Electronics выпускает платформу для прототипирования ASIC HAPS (HARDI ASIC Prototyping system) версии 2.1. позволяет прототипировать ASIC до 8 миллионов ASIC вентилей на частоте более 200 Мгц. Обеспечено каскадирование HAPS для прототипирования более крупных проектов. HARDI Electronics - член программы "Partners in Prototyping" фирмы Synopsis. Плата построена на базе FPGA Xilinx Virtex II. HAPS полностью поддерживает Xilinx ChipScope и Synplicity Identify для отладки в реальном времени. Все внутренние сигналы могут просматриваться и изменяться в окне временных диаграмм. HAPS поддерживает все средства синтеза и отладки, представленные на рынке. Цена HAPS - от $11,900. HARDI Electronics (Швеция) основана в 1987 году, в 1999 году HARDI Electronics успешно прототипировала несколько ASIC проектов, первая версия HAPS была выпущена в 2000 году. www.hardi.com/haps 1.18.2. Обобщения и выводы Практически все современные системы прототипирования строятся на базе ПЛИС. А основные их напраления развития: увеличение предела сложности (количество вентилей) прототипируемых проектов, повышение быстродействия, улучшение средств отладки, снижение цены. HAPS выглядит вполне прилично по всем показателям. 1.19. "11. Отладка программного обеспечения для микроконтроллеров 11.2. И все остальные" 1.19.1. Только факты 18 марта Accelerated Technology анонсирует поддержку процессоров OMAP фирмы Texas Instruments в Nucleus RTOS Nucleus RTOS обеспечивает сетевую работу по TCP/IP, систему управления файлами, графическую библиотеку. Nucleus RTOS продается вместе с исходными текстами, цена - от $12,495. www.acceleratedtechnology.com www.mentor.com 21 апреля Accelerated Technology анонсирует Nucleus USB для разработки встроенных систем на баз USB без выплаты 'royalty' Nucleus USB состоит из встроенного программного обеспечения, обеспечивающего host/target соединение различных USB устройств и управление аппаратными USB-контроллерами. С недавнего времени, Accelerated Technology - 'Embedded Systems' подразделение фирмы Mentor Graphics. www.acceleratedtechnology.com www.mentor.com 22 апреля Accelerated Technology выпускает Nucleus SNMPv3 SNMPv3 - это Simple Network Management Protocol версия 3. SNMP, впервые стандартизированный в 1988 году, сегодня стал стандартом де-факто для управления сетевого оборудования на базе протокола TCP/IP. Однако SNMPv1 не обеспечивал секретности. SNMPv3, утвержденный в качестве стандарта в 2002 году, регламентирует три важные составляющие секретности в сетях: аунтефикацию, приватность, контроль доступа. Nucleus SNMPv3 (поддерживая также и версии 1 и 2 протокола) спроектирован так, чтобы бесшовно интегрироваться в Nucleus NET - надежный стек протоколов TSP/IP от Accelerated Technology. www.acceleratedtechnology.com www.mentor.com 22апреля Mentor Graphics выпускает программный стек для USB-OTG контроллеров Mentor Graphics Inventra Dual Role Controllers поддерживает функции 'ведущий' и 'ведомый', имеется возможность динамически переключать эти роли. Разработанное ПО для USB-OTG имеет API для Linux, поддерживает статическое и динамическое линкование драйверов внешних устройств. При покупке пользователь получает полные исходные тексты на C, образцы скриптов для компиляции и сборки для популярных платформ, исходные тексты для класса драйверов, документацию и руководство по интеграции. www.mentor.com/inventra 23 апреля Accelerated Technology выпускает для Nucleus ПО, поддерживающее IPv6 IPv6 (Internet Protocol version 6) резко увеличивает количество адресуемых в Internet устройств. Кроме того, иерахическая структура адреса в IPv6 и измененный формат заголовка обеспечивают более эффективную маршрутизацию сетевого трафика. Дополнительное внимание в IPv6 было уделено секретности и мобильности. Поскольку Европа получила только 17% адресного пространства, а Азиатско-Тихоокеанский регион - только 9%, то они являются главными сторонниками перехода на IPv6. Ожидается, что к 2004 году IPv6 станет потребностью и американских компаний, поскольку они продают свои продукты в Европе и Азии. Nucleus IPv6 - реализация 'host'-компоненты протокола IPv6. www.acceleratedtechnology.com www.mentor.com 1.19.2. Обобщения и выводы Выглядит одинаково странным определенное "затишье" на рынке средств разработки программного обеспечения встроенных систем и бурная активность, которую проявила Accelerated Technology. Отметим также основные направления разработок: сетевые приложения и USB. 1.20. "11.3. Сетевые микроконтроллеры" 1.20.1. Только факты 26 марта Motorola демонстрирует коммуникационный процессор PowerQUICC III (MPC8560) на Smart Networks Developer Forum MPC8560 содержит ядро PowerPC e500, 256 Кбт кеш-памяти второго уровня, улучшенный CPM (Communications Processor Module), RapidIO, интерфейс Ethernet, поддержку DDR SDRAM и PCI/PCI-X. www.motorola.com/sndf www.motorola.com/semiconductors 1.20.2. Обобщения и выводы Motorola выпустила очередной микроконтроллер для использования в сетевых приложенияях. 1.21. "11.4. Мультимедиа-микроконтроллеры" 1.21.1. Только факты 5 марта Texas Instruments выпустила 3 новых DSP для обработки графики, видео и беспроводной передачи 720 MHz TMS320C6416, TMS320C6415 и TMS320C6414 основываются на ядре TMS320C64x и выполнены по технологии 130 нм. Все три DSP включают 1 Мбт памяти и высокоскоростные периферийные устройства - это ускоряет приложения, обрабатывающие данные в реальном времени. 64-канальный DMA обеспечивает передачу данных со скоростью несколько гигабайт в секунду. Поддерживается ввод аудиоинформации по интерфейсам AC97 и IIS. Обеспечены 33 Мгц 32-битный PCI (peripheral component interconnect), HPI (host port interface) для межпроцессорных коммуникаций, 50-MHz Utopia Level II ATM. Разработка осуществляется с помощью TI eXpressDSP. www.ti.com/720mhzp 24 марта Intel выпускает 3 новых процессора PXA255, PXA260, PXA263 для PDA - с большей производительностью и меньщим энергопотреблением PDA (Personal Digital Assistant) теперь включают высокоскоростной беспроводной доступ в Интернет (Wi-Fi* 802.11), цветной экран, интегрированную камеру и др. Это требует большей производительности и большей памяти. Процессор Intel PXA260 (выпускаемый в версиях 200Мгц, 300 Мгц и 400 Мгц) имеет размер 13мм*13мм*1.4мм в отличие от конкурентов, имеющих размер 17мм*17мм*1.75мм Процессор Intel PXA263 имеет дополнительно 32 Мегабайта 32-битной памяти Intel StrataFlash. Процессор Intel PXA255 при работе на частоте 400 Мгц требует напряжение питания всего 1.3в. Процессоры Intel PXA250 уже используются фирмами Acer, Casio, Dell, Intermec, Symbol и Toshiba. Новыми процессорами PXAxxx уже заинтересовались фирмы BenQ, Philips, Trigem, Wyse и ViewSonic, выпускающие портативные медиа-плейеры. www.intel.com/design/pca/applicationsprocessors/index.htm www.intel.com/pressroom 21 апреля Toshiba чип для цифрового декодирования мультимедиа TC81240TBG включает 64-битный MIPS-процессор(TX49), который работает на частоте 200 Мгц, а также MPEG-2 видеодекодер, процессор 2D-графики, DSP для обработки аудио, видеокодер для NTSC/PAL/SECAM, процессор демультиплексирования транспортного потока, PCI-интерфейс. Цена - $35 в партиях по 300,000 штук. www.chips.toshiba.com 21 апреля Новый 64-битный процессор PR4938XBG-300 от Toshiba для цифровых информационных устройств PR4938XBG-300 базируется на ядре TX49/H3, изготовлен по технологии 0.13 мк, работает на частоте 300 Мгц, имеет встроенные интерфейсы Ethernet MAC (Media Access Control) и NAND Flash Memory. Н чипе имеется также контроллер SDRAM для работы частоте 133 Мгц. Цена TMPR4938XBG-300 - $35 в партиях по 10,000. www.chips.toshiba.com 22 апреля TMP1962 - новый 32-битный MIPS-контроллер от Toshiba содержит 1Мбайт ROM или Flash памяти плюс 40 Кбайт RAM TMP1962 базируется на конфигурируемом ядре TX19, имеет 17 каналов для 8/16/32-битных таймеров, 24 канала АЦП, 7 каналов для последовательного интерфейса. Предполагемый рынок для TMP1962 - цифровые камеры, биометрические системы, портативные устройства для аудио-видео приложений. Цена - $12.50 в партиях по 100 штук. www.chips.toshiba.com www.toshiba.com/taec 22 апреля Philips выпускает LPC2100 - семейство микроконтроллеров на базе 32-битного ARM7TDMI-S по технологии 0.18 мк с флеш-памятью, напряжение питания - 1.8В. LPC2100 оптимизирован и под низкое потребление энергии, и под высокую производительность: работая на частоте 60 МГц, обеспечивает 54 Dhrystone MIPs. LPC2100 содержит 128 Kб флеш-памяти, от 16 до 64 Кб SRAM, последовательные интерфейсы (I2C, SPI, 2 UART), таймеры, EmbeddedICE-RT и ETM (Embedded Trace Macrocell). По мнению Philips, как 80C51 стал рабочей лошадкой на рынке 8-битных МК, так ARM7TDMI-S станет ведущим на рынке 32-битных контроллеров. Чипы LPC2100 поддерживаются средствами разработки от ARM, Ashling, Hitex, Keil Software, Nohau и Phytec. Чипы LPC2100 стоят от $5 до $8 за штуку в партиях по 10,000. www.semiconductors.philips.com 1.21.2. Обобщения и выводы Обработка мультимедиа информации определенно является приоритетным направлением для современных производителей процессоров: Texas Instruments выпустила целых 3 новых DSP (рабочая частота - 720 Мгц), Intel - тоже 3 (от 200 до 400 Мгц), Toshiba - 3 (200-300 Мгц, цена - $35 и $12.50), Philips - 3 (частота 60 Мгц, цена от $5 до $8). 1.22. "11.5. Другие новости мира микроконтроллеров" 1.22.1. Только факты 4 марта Motorola расширяет семейство микроконтроллеров для индустриальных приложений в ограниченном пространстве 68HC908GZ8 и 68HC908GZ16 изготавливаются в 32- и 48-контактных корпусах, имеют 8К,16К (соответственно) флеш-памяти, 2 16-битных таймера, улучшенный последовательный интерфейс SCI, специальные средства защиты от перепрошивки флеш-памяти. Цена - от $3.40 до $4.20 в партиях по 10,000 штук. В дополнение Motorola обеспечивает Web-сайт, программные библиотеки, примеры программ, аппаратные и программные средства разработки, техническую документацию, on-line тренинг и инженерную поддержку. 4 марта Новый 8-битный OTP-микроконтроллер от Toshiba для маленьких домашних электрических приборов Toshiba America Electronic Components, Inc. (TAEC) анонсировала дешевый 8-битный OTP (One-Time-Programmable) микроконтроллер TMP86P202/3P на базе процессора 870/C, с памятью (2К байт OTP, 128 байт RAM) в 20-контактном корпусе, работает на частоте 8 Мгц. Контроллер содержит также 2 8-битных таймера/счетчика и 4 8-битных аналого-цифровых преобразователя, что делает его идеально подходящим для управления маленькими домашними электрическими устройствами типа: утюги, электробритвы. Встроенный OTP позволяет производителям конечного продукта однократно выполнить программирование - это сокращает время выхода на рынок и облегчает диверсификацию приборов. Имеется полный набор средств разработки - симулятор, эмулятор, отладчик, ассемблер, ив ысокопроизводительный оптимизирующий ANSI C компилятор. Цена - $1.67 в партиях по 100 штук. www.chips.toshiba.com www.toshiba.com/taec 10 марта Motorola выпускает гибкое устройство для соединения микроконтроллеров с оконечными устройствами MC33993 взаимодействует с МК посредством 4-контактного последовательного интерфейса. Используя возможности мультиплексирования до 22 аналоговых сигналов могут посылаться на один один АЦП-вход микроконтроллера. 22 I/O контакта MC33993 могут быть сконфигурированы для ваполнения различных функций: обнаруживать состояние переключателя (откры/закрыт), обеспечивать буферированный вывод аналоговых величин, управлять LED (light emitting diode) и др. Устройство позволяет также соединять МК непосредственно с системами с питанием от -14 до 26 вольт. Это делает его идеальным для промышленной автоматики. MC33993 имеет режимы пониженного энергопотребления и может "засыпать" и "просыпаться" вместе с процессором. Цена - от $1.50 в партиях по 10,000. www.motorola.com/semiconductors 31 марта Atmel выпускает новые флеш C51 микроконтроллеры с USB AT89C5131 и AT89C5132 - 8-битные CPU с интегрированным USB 2.0 контроллером, с 32 и 64 кбайтами флеш-памяти соответственно. Обеспечивается самопрограммирование и удаленное программирование через USB или UART. AT89C5131 имеет интерфейс с клавиатурой, 1 Кбт EEPROM и SPI, и потому хорошо подходит для изготовления клавиатур, сканеров отпечатков пальцев и считывателей штрих-кодов. AT89C5132 имеет встроенные интерфейсы к большинству средств внешней памяти, включая IDE/ATAPI для HDD, CD/DVD и CompactFlash, MultiMediaCard, DataFlash, Nand Flash и SmartMediaCard. Кроме того, AT89C5132 обеспечивает полный диапазон человеко-машинных интерфейсов, таких как клавиатура, LED/LCD, 10-битный АЦП для управления батарейками и даже записи голоса. С AT89C5132 поставляется большое количество исходников, включая устройства чтения/записи флеш-карт, флеш-дисков, образов, аудио-устройств и игр. Имеется полный набор средств разработки, включая аппаратные эмуляторы, программные компиляторы и SDK. www.atmel.com/dyn/products/product_card.asp?PN=AT89C5131 www.atmel.com/dyn/products/product_card.asp?PN=AT89C5132 1 апреля Motorola предлагает 32-битный микроконтроллер для сред с экстремальной температурой (для авионики) MPC555 и MPC566 на базе PowerPC могут работать в температурном диапазоне от -55 до +125 градусов по Цельсию. Такие устройства могут работать непосредственно на крыле самолета (где температура часто бывает ниже чем -40 градусов по Цельсию) Другие характеристики MPC555 и MPC566 - 40 MHz или 56 MHz процессор PowerPC - от 26 до 56 Кбайт SRAM - для проследовательной передачи и приема: - QSMCM (Queued Serial Multi-Channel Modules) - UART (Universal Asynchronous Receiver and Transmitter) - SPI (Serial Peripheral Interface) - два АЦП с 40 каналами аналоговых входов - два или три CAN-модуля - модульная система ввода-вывода с поддержкой PWM (pulse width modulation) - поддержка RTOS - JTAG и BDM (Background Debug Module) - совместимость по контактам с существующими процессорами. Средства разработки, поставляемые Metrowerks и другими независимыми поставщиками, включают логшические анализаторы, отладчики, средства симуляции, С и С++ компиляторы. www.motorola.com/semiconductors 1 апреля Motorola выпускает 32-битные микроконтролеры MPC53x на базе Power PC для чувствительных к стоимости приложений Свойства MPC53x: - 40 MHz PowerPC - от 26 до 56 Кбайт SRAM - от 512K to 1M байта флеш-памяти - для проследовательной передачи и приема: - QSMCM (Queued Serial Multi-Channel Modules) - UART (Universal Asynchronous Receiver and Transmitter) - SPI (Serial Peripheral Interface) - один АЦП с 16 каналами аналоговых входов - один CAN-модуль - модульная система ввода-вывода с поддержкой PWM (pulse width modulation) - JTAG и BDM (Background Debug Module) - совместимость по контактам с существующими процессорами. Средства разработки, поставляемые Metrowerks и другими независимыми поставщиками, включают логшические анализаторы, отладчики, средства симуляции, С и С++ компиляторы. Среди независимых поставщиков средств разработки для семейства MPC500: Green Hills Software, WindRiver Systems, Lauterbach, Ashling Microsystems и др. Цены на МК в партиях по 10,000 штук: - $21.70 для MPC533 - $24.95 для MPC534 - $33.97 для MPC535 - $39.07 для MPC536 www.motorola.com/semiconductors 1 апреля Atmel выпускает первый микроконтроллер SecureAVR с 32 Мегабитной флеш-памятью AT90SC3232CS-F32M базируется на AT90SC3232CS (процессор SecureAVR, 32 Кбайта флеш, 32 Кбайта EEPROM) с добавлением 32 Мегабит флеш для эффективного и секретного хранения данных. Процессор SecureAVR имеет такие встроенные аппаратные возможности как: поддержка Elliptic Curves, быстрые DES/TDES и RSA процессоры. Новый контроллер соответствует сертификации секретности Criteria EAL4+. На базе AT90SC3232CS-F32M уже разработан чип секретного доступа по стандарту ITSO (Interoperable Transport Smartcard Organization). Цена в партиях по 1000 штук - $20. www.atmel.com/dyn/products/product_card.asp?part_id=2798. 15 апреля TI анонсирует MSC1211 - 24-битную SoC-систему сбора данных MSC1211 объединяет 24-битный дельта-сигма АЦП, улучшенный процессор 8051, флеш-память (от 4К до 32К) и множество внутрикристальных периферийных устройств с высокой производительностью (в 3 раза быстрее чем обычный 8051) и низким энергопотреблением (до 4 милливатт). Ценовая таблица: MSC1211Y2 (4K) $16.65 MSC1211Y3 (8K) $17.20 MSC1211Y4 (16K) $18.45 MSC1211Y5 (32K) $19.95 MSC1212Y2 (4K) $16.15 MSC1212Y3 (8K) $16.70 MSC1212Y4 (16K) $17.95 MSC1212Y5 (32K) $19.45 dataconverter.ti.com/sc03084 www.ti.com 15 апреля Nucleus RTOS от Accelerated Technology использована в Китае при создании кассового беспроводного терминала Начальная партия таких терминалов - 5,000 штук. Терминал M.POS2002, разработанный фирмой M.POS Limited из Гонконга, может обрабатывать магнитные карты и смарт-карты в реальном времени, делать голосовые звонки, сверять отпечатки пальцев, поддерживает SMS (Short Message Services), включая прием, посылку и распечатку SMS, поддерживает прием и отсылку e-mail. www.mpos.net www.acceleratedtechnology.com www.mentor.com 24 апреля Atmel расширяет семейство продуктов на базе 8-битного AVR Новые устройства предназначены для рынков: беспроводной передачи, периферийных устройств ПК и безопасности. AVR был впервые выпущен фирмой ATMEL в 1997 году. И, по мнению представителей Atmel, это единственная новая 8-битная архитектура за последние 20 лет. За эти 6 лет AVR занял свое место на рынке благодаря внутрисхемно программируемой флеш-памяти и конкурентному соотношению цена/ производительность. Новые модификации: - AT86RF401 SmartRF - объединяет AVR с высокопроизодительной RF-передачей информации (250-460 Мгц). Он предназначен для рынка беспроводного удаленного управления. - AT90SC Family Secure AVR - интегрирует генератор случайных слов, криптопроцессор, обеспечивая GSM SIM-карты, Internet-транзакции, оплачиваемое TV и банковские операции. Такие приложения требуют высокой производительности при выполнении функции шифрации в реальном времени. - Mega 169 - первый член семейства с интегрированным LCD-контроллером. Типичное потребление энергии - менее 20 мкА при работе на частоте 32 КГц. www.atmel.com/AVR 1.22.2 Обобщения и выводы Рынок 8-битных микроконтроллеров пополнился новыми и самыми разнообразными модификациями: 68HC908 от Motorola (цена от $3.40 до $4.20), TMP86 от Toshiba (цена - $1.67), AT89(на базе 8051) и AT90(SecureAVR) от Atmel (цена - $20), MSC1211 (на базе 8051) от Texas Instruments. Motorola выпустила очередные 32-битные МК: MPC555, MPC566 (на базе PowerPC) - для авионики (работают при температурах от -55 до +125 градусов по Цельсию); MPC53x (на базе PowerPC) с ценой от $21.70 до $39.07 - для чувствительных к стоимости приложений. Интересной разработкой является многофункциональный беспроводной кассовый терминал M.POS2002, созданный в Китае. 1.23. "12. Обучение - ключ к продаже 12.1. Очные семинары" 1.23.1. Только факты 5 марта International Symposium on Quality Electronic Design 4-ый ежегодный ISQED состоялся 24-26 марта 2003 года в Сан-Хосе (США). Ведущие доклады представили Cadence, Intel, Magma, Philips, RubiCAD и Toshiba. Состоялось множество интерактивных тьюториалов. www.isqed.org 12 марта Xilinx анонсирует Programmable World 2003 вместе с IBM, Agilent, Cadence и 26 другими лидерами индустрии Programmaple World 2002 посетили 8,000 инженеров, на PW 2003 ожидается более 10,000. Форум проводится 6 мая одновременно во множестве мест, включая: Austin, Atlanta, Boston, Chicago, Columbia (Maryland), Dallas, Long Island, Longmont, Los Angeles, Ottawa, Phoenix, Raleigh, San Diego, San Jose, Toronto. Кроме того, форум состоится в Мюнхене 15 мая, Шанхае - 16 июня, Hsinchu - 18 июня, Сеуле - 20 июня и Tokyo 24 июня. Перечень обсуждаемых проблем - высокоскоростные соединения - использование процессорных ядер - методы проектирвоания для интеграции новых процессорных архтектур в существующие системы - новые поколения цифровой обработки сигналов - верификация сильно интегрированных систем www.xilinx.com/pw2003 17 марта Популярные лабораторные практикумы возвращаются на 40-ю DAC (Design Automation Conference) EDA компании объединяются, чтобы организовать интерактивные учебные семинары на DAC 2-6 июня в г.Анахейм, штат Калифорния. Каждый тьюториал будет проходить 3 часа, стоить каждому участнику $50. На каждый тьюториал записывают только 30 человек. www.dac.com 17 марта DAC анонсирует техническую программу Ожидается более 10,000 участников, более 170 выставляющихся компаний, более 200 докладов, панелей, сессий и тьюториалов. В тм числе выделяются. Понедельник: - тьюториал "Методы проектирования с целью снизить потребление энергии" - семинар "Введение в EDA и чипы для непрофессионалов" - панель "IP-бизнес: это реально?" Вторник: - доклад Robin Saxby (ARM, исполнительный директор) "Проблемы и решения валидации SoC" - панель "Развитие EDA в направлении сокращения энергопотребления" - панель "Прогнозы на EDA бизнес" Среда: - панель "Новые технологии удешевления чипов" - специальная сессия "Coping with Variability: The End of Domestic Design" - дискуссия "Платформы: Да, но какой тип лучше?" - специальная сессия "Как приложения и технологии влияют на EDA" Четверг: - панель "Библиотеки" - ретроспективный доклад Alberto Sangiovanni-Vincentelli (проф. университет Калифорнии в Беркли) - специальная сессия ""Проектирование современных микропроцессоров" Пятница - тьюториалы: "Assertion-Based Verification" и др. www.dac.com 18 марта Altera и Synplicity провели бесплатные семинары "Как достичь максимальной производительности на FPGA Stratix" 20 марта - в Sunnyvale (Калифорния), 10 апреля в Schaumburg (Иллинойс), 11 апреля - Chelmsford (Mass.). www.synplicity.com/events/max_performance_seminar.html www.altera.com 2 апреля Altera анонсирует серию семинаров по системной архитектуре 'Code:DSP' 'Code:DSP' - аппаратно-программная архитектура для разработки систем цифровой обработки сигналов на базе чипов семейств Stratix (с Системная архитектура Code:DSP, поддерживаемая IP-компонентами, программными средствами и устройствами, обеспечивает перенос требовательных к ресурсам процессора DSP-алгоритмов в программно- управляемые FPGA-сопроцессоры. Последние могут включать как IP-компоненты, разработанные пользователем, так и более 60 DSP IP- компонент с web-сайта Altera IP MegaStore. Пользовательские IP-компоненты могут быть созданы быстро при использовании DSP Builder - средстве разработки фирмы Altera, базирующемся на Matlab и Simulink от MathWorks. Далее SOPC Builder портирует эти IP-компоненты в Altera FPGA. www.altera.com/codedsp www.altera.com/stratix www.altera.com/cyclone 7 апреля Altera и Intel представляют семинар по инновациям в в разработке систем Семинар будет посвящен в том числе использованию Intel IXP Network/Control Processors, Altera Stratix/ Stratix GX FPGA, Altera Cyclone FPGA и интерфейсов SPI-4.2, PCI-X, PCI Express. www.altera.com 1.23.2. Обобщения и выводы Особую активность в проведении семинаров проявила в обозреваемом периоде Altera, проведя 3 семинара: один своими силами, другой совместно с Intel и третий - совместно с Synplicity. Xilinx провела свой очередной масштабный Programmable World 2003. 40-я DAC (Design Automation Conference) вернула в свою программу лабораторные практикумы. Наконец, состоялся 4-ый ежегодный ISQED с докладами от Cadence, Intel, Magma, Philips, RubiCAD, Toshiba и множеством интерактивных тьюториалов. 1.24. "12.2. On-line - обучение" 1.24.1. Только факты 31 марта National Semiconductor открыл онлайновый университет по проектированию аналоговых устройств (Analog University) Обучение в Analog University бесплатное, включает начальные, промежуточные и продвинутые курсы по 8 различным технологиям: усилители, аудио, сжатие данных, дисплеи, LVDS (low voltage differential signaling), управление энергопотреблением, тепловые проблемы и беспроводные устройства. Все курсы явялются интерактивными мультимедиа продуктами, разработанными одим или более из 16 экспертов National, сформировавших первый факультет Analog University. Большинство уроков начинается с часового online-потокового медиа-семинара. Студенты могут выбирать новый живой семинар или один из 22 сархивированных семинаров, представленных экспертами National. После вводного семинара каждый курс Analog University продолжается чтением и выполнением исследовательских упраженией. На курсах имеются ссылки к нужным материалам включая, документацию, примеры применения, специальную поисковую систему Knowledge Base и средства симуляции проектов WEBENCH. Каждый урок завершается тестом. Если студент отвечает на вопрос неправильно, то он отправляется на ресурсы, которые требуется изучить. По сдаче всех тестов обучаемый получает отлайновый сертификат о завершении курса. Knowledge Base обеспечивает поиск в 1820 datasheets, 750 applcations notes, 350 часто задаваемых вопросах и ежемесячном он-лайн журнале National Edge. Knowledge Base позволяет пользователям писать вопрос в естественной форме - как если бы они задавали вопрос человеку. Система интерпретирует вопрос и динамически генерирует результат поиска. Система распознает аббревиатуры и варианты написания. analogu.national.com knowledgebase.national.com www.national.com 1.24.2. Обобщения и выводы Безусловно такой подход к обучению чрезвычайно перспективен. Хочется отметить особые достоинства данного проекта: - бесплатность обучения - все курсы разработаны профессионалами из National Semiconductor - курсы ориентируют на активное самообучение - WEB-система симуляции - поисковая система с естественными запросами - использование мультимедиа технологий - автоматизированный контроль усвоения знаний - элементы программированного обучения (отсылка к нужному теоретическому материалу в случае ошибок при контроле) - выдача официального сертификата о прохождении курсов. 1.25. "12.3. Университетские программы" 1.25.1. Только факты 8 апреля Agilent EEsof EDA присоединилась к инициативе Yamacraw штата Georgia Как член Yamacraw, Agilent EEsof EDA будет разрабатывать программное и аппаратное обеспечение для исследовтальских университетов штата Georgia. Свою пользу от участия в Yamacraw, Agilent видит в том, что студенты университетов штата Georgia будут приобщаться к средствам и технологиям от Agilent. www.agilent.com/find/eesof 1.25.2. Обобщения и выводы Интересно, что сама инициатива привлечения крупных представителей EDA бизнеса в вузы принадлежит властям штата Georgia. Было бы правильно и нашим муниципальным властям активнее выдвигать и реализовывать инициативы подобного толка. 1.26. "12.5. Документированные проекты" 1.26.1. Только факты 18 марта Toshiba анонсирует документированный проект AVM79R для медиацентров на CeBIT 2003 Проект выполнен на основе MIPS-процессора TX7901 в сотрудничестве со следующими фирмами: - ACCESS Co. Ltd. ( www.access.co.jp ), Интернет-броузер NetFront - Macrovision Corporation ( www.macrovision.com ), цифровое управление правами - Mediabolic, Inc. ( www.mediabolic.com ), ПО для сетевых домашних устройств - Lineo uSolutions, Inc. ( www.lineo.co.jp ) встроенный Linux - Planetweb, Inc. ( www.planetweb.com ), прикладное ПО для рынка потребительской электроники - SecureMedia ( www.securemedia.com ), шифрование и цифровое управление правами - SNAPGear ( www.snapgear.com ), системная интеграция - VWEB Corp. ( www.vwebcorp.com ) MPEG -1/-2/-4 кодек - ZAO Networks, Inc. ( www.zaonet.com ), системная интеграция chips.toshiba.com 24 апреля Altera представила "Руководство по проектированию емких FPGA" на конференции пользователей Mentor www.mentorug.org/conferences/2003 www.altera.com 24 апреля Xilinx анонсирует первый для FPGA интерфейс с DDR400 SDRAM Поставляемый комплект включает бесплатный документированный проект, детальные примеры применения и оценочную плату для демонстранции реализованного интерфейса 400 Mbps DDR SDRAM в FPGA Virtex-II и Virtex-II Pro FPGA www.xilinx.com/memory www.xilinx.com/xapp/xapp253.pdf www.xilinx.com/xapp/xapp608.pdf www.insight-electronics.com 1.26.2. Обобщения и выводы Документированные проекты, перманентно представленные широкой аудитории посредством WEB-технологий - одно из наиболее эффективных средств обучения достаточно сложным современным технологиям. Xilinx и Altera осознали это раньше других. Серьезный шаг в данном направлении сделала и Toshiba со своими партнерами. 1.27. "13. Другие ключи к продаже 13.2. Расширение географии" 1.27.1. Только факты 3 марта Европейская экспансия CoWare Uri Mayer - бывший президент LISATek, недавно приобретенной CoWare, назначен вице-президентом CoWare по Европе, для чего будет создана CoWare GmbH в Мюнхене (Германия). Кроме того, CoWare уже имеет офисы в Гренобле (Франция) и Bracknell (Великобритания). Продукты CoWare+Lisatek обеспечивают эффективную совместную симуляцию и отладку программного и аппаратного обеспечения. www.CoWare.com 17 марта Synopsis и Китайское Министерство по науке и технологиям (КМНТ) подписали соглашение Synopsis передала средства разработки IC High Technology Research and Development Center (HTRDC) в Китае. Кроме того, КМНТ закупило у Synopsis дополнительные инструментальные системы. Средства от Synopsis будут использованы для проектирования в проектных инкубаторах в 7 основных регионах, где расположена электронная промышленность Китая. Речь идет о таких разработках Synopsis как: VCS, DC Ultra, HDL Compiler, Design Vision, DFT Compiler, TetraMax, PrimeTime, Apollo, Cosmos, Hercules, NanoSim, LEDA, Vera, Scirocco, Star-Hspice, Star-RCXT, DesignWare. www.synopsys.com 24 марта Synopsys и Китайская Академия Наук (КАН) создают SoC лабораторию Synopsys обеспечивает ресурсы для проектирования и обучения работе по технологии 0.13 мк. Лаборатория предложит свои ресурсы более 10 институтам КАН. Средства верификации от Synopsis включают: Synopsys VCS HDL симулятор, CoCentric System Studio для верификации на системном уровне, программируемый чекер LEDA, средства автоматизации создания тестов VERA; DesignWare Verification IP, VCS-NanoSim и VCS-HSPICE для аналого-цифровой симуляции и чекер эквивалентности -Formality. В КАН около 39,000 исследователей и 18,000 аспирантов. www.synopsys.com Chinese Academy of Sciences Ye Tian-Chun, tcye@meccas.ue.ac.cn 31 марта Get2Chip открывает филиал в Индии в рамках программы Technology Circle. T-Circle призвана обеспечить круглосуточную и без выходных (24*7) поддержку разработчиков, использующих продукты Get2Chip. В 2002 году синтезаторы от Get2Chip использовались при выполнении более 130 проектов со средней сложностью 3 миллиона вентилей. www.get2chip.com 17 апреля Summit Design работает в Японии С 1-го апреля открыто подразделение Summit Design Japan Co. Ltd. Ранее Summit Design 10 лет работала на рынке Японии посредством Seiko Instruments Inc. Среди наиболее известных пользователей - NEC. www.sd.com www.necms.com 28 апреля Atrenta расширяет сеть дистрибьюторов в Европе Atrenta разрабатывает SpyGlass - средства предсказательного анализа. Эта разработка завоевывает все большую популярность в мире. TRIAS Mikroelektronik GmbH была выбрана для обслуживания пользователей в Германии, Австрии и Швейцарии. First EDA Ltd была выбрана для обслуживания пользователей в Великобритании и Ирландии. Atrenta уже имеет дистрибьюторов в Индии, Израиле, Японии, Корее, Сингапуре и Тайване. TRIAS Mikroelektronik GmbH обеспечивает полные решения по разработке устройств на базе ASIC/FPGA. First EDA специализируется на проектировании устройств на базе FPGA/ASIC, и одновременно является дистрибьютором многих продуктов. www.trias-mikro.de www.firsteda.com www.atrenta.com 29 апреля OEA International расширяет распространение в Канаде OEA International, Inc., объявила VLSI One, Ltd. своим представителем в Канаде. Канадский рынок EDA быстрорастущий и является вторым после США по количеству fabless-компаний, имея более 250 компаний, занимающимхся проектированием ASIC и пользовательских IC. Кроме того, в Канаде здоровая экономика, и поэтому неправильно, что Канада часто игонорируется маленькими EDA-компаниями. VLSI One - компания нового типа - позиционирует себя как наиболее профессиональная компания по продаже EDA-софта на Канадском рынке. email: vlsi.one@rogers.com www.oea.com 1.27.2. Обобщения и выводы В настоящее время есть три глобальных рынка, привлекающих внимание производителей чипов и разработчиков средств EDA: Европа, Америка и Азия. Итак, в Европу устремились CoWare и Antrenta, в Китай - Synopsis, в Индию - Get2Chip (результатами этого шага будет пользоваться Cadence, вскоре купившая Get2Chip), в Японию - Summit Design, в Канаду - OEA International. 2. Новости, развивающие классификацию 2.1. "н! 7.4. ASIC конвергируют к ПЛИС" 2.1.1. Только факты 4 марта NEC Electronics выбирает Synplicity для синтеза ASIC ISSP ISSP (Instant Silicon Solution Platform) фирмы NEC предназначена для ускорения разработки ASIC. NEC обеспечит Synplicity полной информацией об архитектуре ISSP. Synplicity оптимизирует под ISSP свой синтезатор Synplify ASIC. Результат будет интегрирован в OpenCAD фирмы NEC. Synplify ASIC 2.4.1 со специальной технологией синтеза в ISSP уже доступен от Synplicity. Цена за годичную лицензию - от $45,000, за вечную лицензию - от $115,000. Продукт работает по Linux (Red Hat 8.0), HP-UX 11.11, Sun Solaris 2.7/2.8/2.9, Windows NT 4.0/2000. www.synplicity.com 14 марта Synplicity и Lightspeed Semiconductor подписали соглашение о совместной разработке средств синтеза для Lightspeed Luminance Modular Array ASIC Технология Modular Array позволяет создавать 0.13 мк 10-миллионо-вентильные чипы менее чем за 3 месяца. ASIC Modular Array включают до 5 миллионов бит SRAM и могут иметь быстродействие до 700 МГц. Предполагается повышение на 15% качества синтеза Synplicity для Modular Array. Synplicity Synplify ASIC выпущена в июне 2001 года. www.lightspeed.com www.synplicity.com 21 апреля Chip Express переходит на технологию 0.18 мк Chip Express производит 'программируемые на последней стадии' Advanced Gate Array ASIC. С переходом на технологию 0.18 мк фирма выпустила два новых продукта семейства CX5000: - System Slice - содержит до 1.8 полезных вентилей и 2.6 Мбит памяти - Memory Pig - содержит более 4.5 Мбит быстрой SRAM Чипы семейства CX5000 работают на частоте 200 Мгц. Размеры NRE (невозвращаемых затрат) составляют от $35K до $100K в зависимости от требуемой поддержки от сервисной службы. Цена устройств при объемах производства 100К/год - от $2 до $60 в зависимости от размера устройства, типа корпуса и тестовых требований. Chip Express основана в 1989 году в США. Подразделение Chip Express Israel Ltd. выполняет исследования и разработки (R&D). www.chipexpress.com 22 апреля LSI Logic и Synplicity совместно разрабатывают средства синтеза для платформы LSI Logic RapidChip RapidChip позволяет проектировать сложные пользовательские SoC- системы быстро и предсказуемо. Однако средства синтеза должны должны уметь автоматически отображать проект в архитектуру RapiChip и эффективно ее использовать. Платформа RapidChip содержит конфигурируемую пользователем логику, оптимизированые блоки памяти и библиотеку IP-компонент LSI Logic CoreWare. Synplicity планирует оптимизировать свои средства физического синтеза для RapidChip в третьем квартале 2003 года. Платформа RapidChip объединяет высокую плотность и высокую производительность ASIC с быстрым выходом на рынок за счет кастомизации FPGA, а также набор IP-компонент для ASSP. www.lsilogic.com www.synplicity.com 2.1.2. Обобщения и выводы В рамках текущего периода ярко выразилась тенденция производителей ASIC конвергировать свои разработки в сторону достоинств ПЛИС в том числе: - фирма NEC Electronics разработала ISSP (Instant Silicon Solution Platform) - фирма Lightspeed Semiconductor продвигает технологию Modular Array - фирма Chip Express продвигает 'программируемые на последней стадии' Advanced Gate Array ASIC. - фирма LSI Logic выпускает конфигурируемую пользователем платформу RapidChip. Цель конвергенции - сократить во времени производственный цикл, уменьшить NRE (объем невозвращаемых затрат) при сохранении низкой стоимости массового производства готовых изделий и высокой плотности размещения элементов и конкурентной производительности чипов. 2.2."н! 7.3.5. Память с шифрованием данных" 2.2.1, Только факты 22 апреля Atmel анонсирует память с шифрованием данных для встроенных систем Семейство CryptoMemory содержит чипы от 1 Кбита до 256 Кбит. Наличие в чипах CryptoMemory энергонезависимой EEPROM обеспечивает безопасность данных посредством протокола аутентификации, шифрования данных, аппаратных средств защиты от подделки данных. Для быстрого обмена данными используется общий 2-проводной последовательный интерфейс. Цена - от $.30 до $.85 в зависимости от объема памяти. www.atmel.com/products/SecureMem 2.2.2, Обобщения и выводы Atmel открыла новую сферу применения ПЛИС, выпустив семейство чипов памяти с шифрованием данных. 2.3. "15. Специализированые СБИС 15.1. Беспроводная передача данных 2.3.1. Только факты 13 марта Motorola и IBM Microelectronics разработали систему глобального позиционирования на одном чипе Это может привести к созданию целого поколения портативных приборов таких как: цифровые камеры, снабжающие изображение точным временем и местоположением; PDA с картами и навигацией в реальном времени; совместимые с E-911 сотовые телефоны, которые могут найти друзей, членов семьи, рестораны, ближайшие магазины и т.д. www.motorola.com/gps www.motorola.com/automotive www.ibm.com/chips 2 апреля Atmel выпускает ATAR862/T48C862 - семейство RF Remote Control Transmitter для удаленного управления Эти устройства способны передавать информацию с производительностью 32 килобит/сек при температурах от -40 до +125 градусов по Цельсию. Цена в США для ATAR862 $2.58 (в партиях по 60k), для T48C862 - $5.20 (в партиях по 10k). http://www.atmel.com/dyn/products/devices.asp?family_id=606. 21 апреля Toshiba выпускает RF/IF приемники для беспроводных приложений TA31275FN/TA31273FN могут работать на частоте от 240 МГц до 450 МГц, обладая высокой чувствительностью и низким потреблением энергии. Цена - $0.99 за штуку в партиях по 10,000. www.chips.toshiba.com 21 апреля Toshiba выпускает TB32301AFL - новый одночиповый 2.4 Ггц радио приемопередатчик Потенциальный рынок чипа: удаленное управление, беспроводные домашние сети. Цена TB32301AFL - $1.99 в партиях по 100,000 штук. www.chips.toshiba.com 2.3.2. Обобщения и выводы Среди специализированных чипов очевидно проглядывает тенденция делать устройства беспроводной передачи информации такие как: - система глобального позиционирования на одном чипе (от Motorola и IBM Microelectronics) - RF/IF приемники/передатчики информации от Toshiba - RF-передатчики от Atmel 2.4. "н! 15.2. Сетевая обработка" 2.4.1. Только факты 22 апреля TeraChip с помощью средств разработки от Cadence и 0.13 мк технологии изготовления от TSMC выпускает TCF16X10 - чип типа 'Switch Fabric' с производительностью 160 Gbps TCF16X10 предназначен для использования в свичах и маршрутизаторах LAN (local area network ), SAN (storage area network) и MAN (metro area network). TeraChip - fabless-компания, основанная в ноябре 2000 года, получила стратегическое финансирование от ведущих международных венчурных фирм. www.tera-chip.com www.cadence.com www.tsmc.com 2.4.2. Обобщения и выводы Свичи и маршрутизаторы в силу постоянно растущих требований к производительности еще долго будут оставаться в разделе специализированных устройств. 2.5. "н! 15.3. Цифровое телевидение" 2.5.1. Только факты 19 марта Oak Technology использовала LogicVision Validator при разработке своего мультимиллионовентильного чипа HDTV www.logicvision.com www.oaktech.com 2.5.2. Обобщения и выводы Цифровое и интерактивное телевидение - еще одна область, требующая чрезвычайной производительности, а следловательно и предоставляющая широкий простор для применения специализированных устройств. 2.6. "н! 13.3. On-line - выставки" 2.6.1. Только факты 14 апреля TNI-Valiosys намерена выставляться на VirtualDACafe 2003 Online Trade Shows TNI-Valiosys (Тайвань) разрабатывает EDA-средства для авионики, автомобилестроения, телекоммуникаций, промышленности. www.tni-valiosys.com www.virtualdacafe.com 28 апреля Wall Street Journal отметил IBSystems VirtualDACafe Online Trade Show Ниже приведены наиболее интересные ответы на вопросы корреспонедента WSJ: Первое он-лайн шоу состоялось в 1997 году как демонстрация технологии для Sun Microsystems. VirtualDACafe открылся 22 апреля 2001 года. Портал www.EDAToolsCafe.com имеет более 125,000 уникальных посетителей каждый месяц и более 35,000 подписчиков на ежедневные новости. Проведены VirtualDACafe 2002 и VirtualDACafe 2003 (открылся в феврале). Следующий VirtualDACafe планируется на 24 июня 2003 года, вслед за DAC 2003 (Design Automation Conference). В 1997 году большинство пользователей Интернета пользовались медленными телефонными соединениями. Сейчас большинство пользуется скоростным доступом к Интернет. Это позволяет использовать потоковое аудио и видео по требованию, обеспечивать аудио- и видео-конференции в реальном времени, даватть трехмерные изображения. Мы также использовали последние достижения от Макромедиа, чтобы сделать наше шоу более интерактивным. Мы используем их Flash MX сервер и флеш-технологии, чтобы обеспечить взаимодействие, близкое к реальному. Сейчас у нас есть аудио-чат и аудио-взаимодействие в реальном времени. Мы также используем технологии от Wanadu, Inc. которая позоляет нам конвертировать озвученные и анимированные MS Powerpoint слайды во флеш и распространять их через Интернет. Наши данные находятся в базе данных Oracle на сервере Sun Microsystems. По нашему мнению в будущем он-лайн шоу полностью заменят физические шоу. www.ibsystems.com 2.6.2. Обобщения и выводы Опыт виртуального проведения EDA выставок чрезвычайно положительно оценен в США. А сами организаторы IBSystems VirtualDACafe Online Trade Show уверены что в перспективе Online-выставки вообще вытеснят обычные выставки. Будучи не готовым полностью разделить такую точку зрения, соглашусь лишь с тем, что on-line выставки будут безусловно играть все возрастающую роль. Из этого следует что всем обладателям товара в EDA-индустрии следует "начинать готовить сани". Что я под этим понимаю: - создать и перманентно поддерживать в актуальном состоянии собственный сайт, содержащий всю информацию, необходимую потенциальным потребителям - обеспечить эффективный доступ к сайту (производительность канала связи, регистрация в поисковых системах и тематических каталогах, специальная работа по повышению рейтинга сайта в поисковых системах) - грамотно интегрировать современные мультимедиа технологии. 2.7. "н! 13.4. On-line - порталы" 2.7.1. Только факты 14 апреля Xilinx расширяет eSP Portal новыми приложениями - для новой платформы - Spartan-3 На сегодняшний день Xilinx eSP Web Portal посетило более 7 миллионов пользователей. Сайт - богатый источник тьюториалов, обзоров рынков, технической документации. www.xilinx.com/esp 29 апреля Cyon Research и IBSystems вместе распространяют информацию CadWire.Net - поисковый "движок" Cyon Research будет сипользован для поиска информации в базах данных IBSystems IBSystems основана в 1994 году. Портал CADwire.net основан в 1999 году Cyon Research Corporation, бесплатно доступен для пользователей. www.CADwire.net www.ibsystems.com 2.7.2. Обобщения и выводы On-line-портал как средство интеграции всей необходимой потенциальному пользователю информации (или грамотно устроенных ссылок) в "одном месте" является одним из самых перспективных механизмов "борьбы за покупателя". Однако на текущий момент лишь только слегка определены потенциальные потребности в он-лайн порталах и их некоторые функции. Можно уверенно утверждать, что пока здесь вопросов больше чем ответов и, соответсвенно, это отличное поле деятельности для начинающих ИТ-компаний. Заключение Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала http://www.dacafe.com в период с января 2001 по апрель 2003 года. Полный текст хронологических DACAFE-новостей с января 2001 года можно найти по адресу: http://NewIT.gsu.unibel.by Цель данных материалов - помочь участникам EDA-индустрии (создателям средств атоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонент и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA и найти свое место в этом процессе.